From 7e85e64823c67c08644df189e8a503a9b0409f1a Mon Sep 17 00:00:00 2001
From: sithglan <sithglan>
Date: Sun, 11 Feb 2007 22:37:27 +0000
Subject: [PATCH] typos

---
 dhwk_old/source/top_dhwk.vhd | 4 ++--
 1 file changed, 2 insertions(+), 2 deletions(-)

diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd
index 97294be..5345dce 100644
--- a/dhwk_old/source/top_dhwk.vhd
+++ b/dhwk_old/source/top_dhwk.vhd
@@ -126,10 +126,10 @@ port (
 	wb_int_o	: out std_logic;
 	
 	fifo_data_i	: in std_logic_vector(7 downto 0);
-	fifo_data_o     : out std_logic_vector(7 downto 0)
+	fifo_data_o     : out std_logic_vector(7 downto 0);
 
 	fifo_we_out	: out std_logic;
-	fifo_re_out	: out std_logic;
+	fifo_re_out	: out std_logic
 );
 end component;
 
-- 
2.39.5