From 8e9deeba7dc8874a7b9781cae68d53007205852d Mon Sep 17 00:00:00 2001 From: sithglan Date: Sun, 11 Feb 2007 22:44:16 +0000 Subject: [PATCH] do something with the fifo stuff --- dhwk_old/source/wb_fifo.v | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/dhwk_old/source/wb_fifo.v b/dhwk_old/source/wb_fifo.v index 95ccad4..8605504 100644 --- a/dhwk_old/source/wb_fifo.v +++ b/dhwk_old/source/wb_fifo.v @@ -29,8 +29,8 @@ module wb_fifo (clk_i, nrst_i, wb_adr_i, wb_dat_o, wb_dat_i, wb_sel_i, wb_we_i, data_reg <= wb_dat_i; end - // assign fifo_we_o = 1'b1; - // assign data_reg = fifo_data_o; + assign fifo_we_o = 1'b1; + assign fifo_data_o = data_reg; assign wb_ack_o = wb_stb_i; assign wb_err_o = 1'b0; -- 2.39.2