From 8fe284533acc18c43fd490dee6d3fe992c49159d Mon Sep 17 00:00:00 2001 From: michael Date: Sun, 11 Feb 2007 22:49:18 +0000 Subject: [PATCH] we_o --- dhwk_old/source/top_dhwk.vhd | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index b88129f..13de352 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -128,8 +128,8 @@ port ( fifo_data_i : in std_logic_vector(7 downto 0); fifo_data_o : out std_logic_vector(7 downto 0); - fifo_we_out : out std_logic; - fifo_re_out : out std_logic + fifo_we_o : out std_logic; + fifo_re_o : out std_logic ); end component; @@ -222,8 +222,8 @@ port map( fifo_data_i => fifo_dout, fifo_data_o => fifo_din, - fifo_we_out => fifo_we, - fifo_re_out => fifo_re + fifo_we_o => fifo_we, + fifo_re_o => fifo_re ); my_heartbeat: component heartbeat -- 2.39.2