From b125f15f3b0eae442aaf821107ace650aa3a722e Mon Sep 17 00:00:00 2001 From: sithglan Date: Sun, 11 Feb 2007 22:36:04 +0000 Subject: [PATCH] fifos --- dhwk_old/source/top_dhwk.vhd | 9 ++++++--- dhwk_old/source/wb_fifo.v | 2 +- 2 files changed, 7 insertions(+), 4 deletions(-) diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index accd1a3..97294be 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -109,7 +109,7 @@ port ( ); end component; -component wb_7seg_new +component wb_fifo port ( clk_i : in std_logic; nrst_i : in std_logic; @@ -125,8 +125,11 @@ port ( wb_err_o : out std_logic; wb_int_o : out std_logic; - DISP_SEL : inout std_logic_vector(3 downto 0); - DISP_LED : out std_logic_vector(6 downto 0) + fifo_data_i : in std_logic_vector(7 downto 0); + fifo_data_o : out std_logic_vector(7 downto 0) + + fifo_we_out : out std_logic; + fifo_re_out : out std_logic; ); end component; diff --git a/dhwk_old/source/wb_fifo.v b/dhwk_old/source/wb_fifo.v index f6a8587..95ccad4 100644 --- a/dhwk_old/source/wb_fifo.v +++ b/dhwk_old/source/wb_fifo.v @@ -1,4 +1,4 @@ -module wb_7seg_new (clk_i, nrst_i, wb_adr_i, wb_dat_o, wb_dat_i, wb_sel_i, wb_we_i, +module wb_fifo (clk_i, nrst_i, wb_adr_i, wb_dat_o, wb_dat_i, wb_sel_i, wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_err_o, wb_int_o, fifo_data_i, fifo_data_o, fifo_we_o, fifo_re_o); input clk_i; -- 2.39.2