From b73dc457fcf4f8d5501e3f731f6e2c48a06b0707 Mon Sep 17 00:00:00 2001 From: michael Date: Sun, 11 Feb 2007 22:48:28 +0000 Subject: [PATCH] first error fixed --- dhwk_old/source/top_dhwk.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index 83d7a01..b88129f 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -187,7 +187,7 @@ my_generic_fifo: component generic_fifo_sc_a port map( clk => PCI_CLK, rst => PCI_nRES, --- clr => + clr => '0', din => fifo_din, we => fifo_we, dout => fifo_dout, -- 2.39.2