From bae92bb8f225183e2b73d4723d655675e6375795 Mon Sep 17 00:00:00 2001 From: michael Date: Sat, 3 Mar 2007 10:40:32 +0000 Subject: [PATCH] use rising_edge(clk_i) --- heartbeat/source/heartbeat.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/heartbeat/source/heartbeat.vhd b/heartbeat/source/heartbeat.vhd index a1a181f..03a465b 100644 --- a/heartbeat/source/heartbeat.vhd +++ b/heartbeat/source/heartbeat.vhd @@ -28,7 +28,7 @@ variable state : std_logic_vector(3 downto 0) := "0001"; variable direction : std_logic := '0'; begin -if (clk_i'event AND clk_i = '1') then +if (rising_edge(clk_i)) then if nrst_i = '0' then counter := (others => '0'); else -- 2.39.2