From ed6ed9b36894f15a7c80c6b2f07927a021baf896 Mon Sep 17 00:00:00 2001 From: michael Date: Sat, 10 Mar 2007 11:44:33 +0000 Subject: [PATCH 1/1] add ven_rev_id --- dhwk/dhwk.prj | 1 + 1 file changed, 1 insertion(+) diff --git a/dhwk/dhwk.prj b/dhwk/dhwk.prj index 9e1460e..dc48107 100644 --- a/dhwk/dhwk.prj +++ b/dhwk/dhwk.prj @@ -39,3 +39,4 @@ vhdl work "source/fifo_control.vhd" vhdl work "source/MESS_1_TB.vhd" vhdl work "source/INTERRUPT.vhd" vhdl work "source/top.vhd" +vhdl work "source/ven_rev_id.vhd" -- 2.39.2