From fbd7beb9135fab1c3783d062404718d60ed16145 Mon Sep 17 00:00:00 2001 From: sithglan Date: Sun, 11 Feb 2007 22:33:26 +0000 Subject: [PATCH] more fifo work --- dhwk_old/source/wb_fifo.v | 3 +++ 1 file changed, 3 insertions(+) diff --git a/dhwk_old/source/wb_fifo.v b/dhwk_old/source/wb_fifo.v index d79cb57..f6a8587 100644 --- a/dhwk_old/source/wb_fifo.v +++ b/dhwk_old/source/wb_fifo.v @@ -29,6 +29,9 @@ module wb_7seg_new (clk_i, nrst_i, wb_adr_i, wb_dat_o, wb_dat_i, wb_sel_i, wb_we data_reg <= wb_dat_i; end + // assign fifo_we_o = 1'b1; + // assign data_reg = fifo_data_o; + assign wb_ack_o = wb_stb_i; assign wb_err_o = 1'b0; assign wb_int_o = 1'b0; -- 2.39.2