From fdb87310e34d91138d6b3e3993d33fc65505ae7d Mon Sep 17 00:00:00 2001 From: sithglan Date: Sat, 10 Mar 2007 11:42:23 +0000 Subject: [PATCH] *** empty log message *** --- dhwk/source/ven_rev_id.vhd | 24 ++++++++++++++++++++++++ 1 file changed, 24 insertions(+) create mode 100644 dhwk/source/ven_rev_id.vhd diff --git a/dhwk/source/ven_rev_id.vhd b/dhwk/source/ven_rev_id.vhd new file mode 100644 index 0000000..e57fa32 --- /dev/null +++ b/dhwk/source/ven_rev_id.vhd @@ -0,0 +1,24 @@ +-- J.STELZNER +-- INFORMATIK-3 LABOR +-- 23.08.2006 +-- File: VEN_REV_ID.VHD + +library IEEE; +use IEEE.std_logic_1164.all; + +entity VEN_REV_ID is + port + ( + VEN_ID :out std_logic_vector(15 downto 0); + REV_ID :out std_logic_vector( 7 downto 0) + ); +end entity VEN_REV_ID; + +architecture VEN_REV_ID_DESIGN of VEN_REV_ID is + +begin + + VEN_ID <= X"2222"; + REV_ID <= X"01"; + +end architecture VEN_REV_ID_DESIGN; -- 2.39.2