From fe5730c73508bc00a04b1a7a878adf9104f5a11b Mon Sep 17 00:00:00 2001 From: michael Date: Sun, 11 Feb 2007 22:45:55 +0000 Subject: [PATCH] verdrahtung --- dhwk_old/source/top_dhwk.vhd | 51 +++++++++++++++++++++++++++++++++++- 1 file changed, 50 insertions(+), 1 deletion(-) diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index 5345dce..83d7a01 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -144,6 +144,12 @@ signal wb_ack : std_logic; signal wb_err : std_logic; signal wb_int : std_logic; +signal fifo_din : std_logic_vector(7 downto 0); +signal fifo_dout : std_logic_vector(7 downto 0); +signal fifo_we : std_logic; +signal fifo_re : std_logic; + + begin @@ -175,7 +181,50 @@ port map( wb_int_i => wb_int -- debug_init => LED3, -- debug_access => LED2 - ); +); + +my_generic_fifo: component generic_fifo_sc_a +port map( + clk => PCI_CLK, + rst => PCI_nRES, +-- clr => + din => fifo_din, + we => fifo_we, + dout => fifo_dout, + re => fifo_re +-- full => , +-- full_r => , +-- empty => , +-- empty_r => , +-- full_n => , +-- full_n_r => , +-- empty_n => , +-- empty_n_r => , +-- level => , +); + +my_fifo: component wb_fifo +port map( + clk_i => PCI_CLK, + nrst_i => PCI_nRES, + + wb_adr_i => wb_adr, + wb_dat_o => wb_dat_out, + wb_dat_i => wb_dat_in, + wb_sel_i => wb_sel, + wb_we_i => wb_we, + wb_stb_i => wb_stb, + wb_cyc_i => wb_cyc, + wb_ack_o => wb_ack, + wb_err_o => wb_err, + wb_int_o => wb_int, + + fifo_data_i => fifo_dout, + fifo_data_o => fifo_din, + + fifo_we_out => fifo_we, + fifo_re_out => fifo_re +); my_heartbeat: component heartbeat port map( -- 2.39.2