]> git.zerfleddert.de Git - proxmark3-svn/blame - common/lfdemod.c
chg: trying to generalize the EMV build
[proxmark3-svn] / common / lfdemod.c
CommitLineData
eb191de6 1//-----------------------------------------------------------------------------
ba1a299c 2// Copyright (C) 2014
eb191de6 3//
4// This code is licensed to you under the terms of the GNU GPL, version 2 or,
5// at your option, any later version. See the LICENSE.txt file for the text of
6// the license.
7//-----------------------------------------------------------------------------
1e090a61 8// Low frequency demod/decode commands
eb191de6 9//-----------------------------------------------------------------------------
10
eb191de6 11#include <stdlib.h>
eb191de6 12#include "lfdemod.h"
05164399 13#include <string.h>
6426f6ba 14
a126332a 15//un_comment to allow debug print calls when used not on device
6426f6ba 16void dummy(char *fmt, ...){}
17
18#ifndef ON_DEVICE
19#include "ui.h"
a126332a 20#include "cmdparser.h"
21#include "cmddata.h"
6426f6ba 22#define prnt PrintAndLog
23#else
a126332a 24 uint8_t g_debugMode=0;
6426f6ba 25#define prnt dummy
26#endif
6426f6ba 27
2dcf60f3 28//test samples are not just noise
29uint8_t justNoise(uint8_t *bits, size_t size) {
30 #define THRESHOLD 123
31 uint8_t val = 1;
32 for(size_t idx=0; idx < size && val ;idx++)
33 val = bits[idx] < THRESHOLD;
34 return val;
a1d17964 35}
36
1e090a61 37//by marshmellow
872e3d4d 38//get high and low values of a wave with passed in fuzz factor. also return noise test = 1 for passed or 0 for only noise
1e090a61 39int getHiLo(uint8_t *BitStream, size_t size, int *high, int *low, uint8_t fuzzHi, uint8_t fuzzLo)
40{
41 *high=0;
42 *low=255;
43 // get high and low thresholds
2eec55c8 44 for (size_t i=0; i < size; i++){
1e090a61 45 if (BitStream[i] > *high) *high = BitStream[i];
46 if (BitStream[i] < *low) *low = BitStream[i];
47 }
48 if (*high < 123) return -1; // just noise
75cbbe9a 49 *high = ((*high-128)*fuzzHi + 12800)/100;
50 *low = ((*low-128)*fuzzLo + 12800)/100;
1e090a61 51 return 1;
52}
53
a1d17964 54// by marshmellow
55// pass bits to be tested in bits, length bits passed in bitLen, and parity type (even=0 | odd=1) in pType
56// returns 1 if passed
57uint8_t parityTest(uint32_t bits, uint8_t bitLen, uint8_t pType)
58{
59 uint8_t ans = 0;
60 for (uint8_t i = 0; i < bitLen; i++){
61 ans ^= ((bits >> i) & 1);
62 }
a38f5a07 63 //prnt("DEBUG: ans: %d, ptype: %d",ans,pType);
a1d17964 64 return (ans == pType);
65}
66
a126332a 67//by marshmellow
68// takes a array of binary values, start position, length of bits per parity (includes parity bit),
c728b2b4 69// Parity Type (1 for odd; 0 for even; 2 for Always 1's; 3 for Always 0's), and binary Length (length to run)
a126332a 70size_t removeParity(uint8_t *BitStream, size_t startIdx, uint8_t pLen, uint8_t pType, size_t bLen)
71{
72 uint32_t parityWd = 0;
73 size_t j = 0, bitCnt = 0;
c08c796e 74 for (int word = 0; word < (bLen); word += pLen){
a126332a 75 for (int bit=0; bit < pLen; bit++){
76 parityWd = (parityWd << 1) | BitStream[startIdx+word+bit];
77 BitStream[j++] = (BitStream[startIdx+word+bit]);
78 }
79 j--; // overwrite parity with next data
80 // if parity fails then return 0
c728b2b4 81 switch (pType) {
11dee3d5 82 case 3: if (BitStream[j]==1) { return 0; } break; //should be 0 spacer bit
83 case 2: if (BitStream[j]==0) { return 0; } break; //should be 1 spacer bit
3c654208 84 default: if (parityTest(parityWd, pLen, pType) == 0) { return 0; } break; //test parity
a126332a 85 }
c08c796e 86 bitCnt += (pLen-1);
a126332a 87 parityWd = 0;
88 }
89 // if we got here then all the parities passed
90 //return ID start index and size
91 return bitCnt;
92}
93
94// by marshmellow
95// takes a array of binary values, length of bits per parity (includes parity bit),
0d2c5909 96// Parity Type (1 for odd; 0 for even; 2 Always 1's; 3 Always 0's), and binary Length (length to run)
c728b2b4 97// Make sure *dest is long enough to store original sourceLen + #_of_parities_to_be_added
a126332a 98size_t addParity(uint8_t *BitSource, uint8_t *dest, uint8_t sourceLen, uint8_t pLen, uint8_t pType)
99{
100 uint32_t parityWd = 0;
101 size_t j = 0, bitCnt = 0;
102 for (int word = 0; word < sourceLen; word+=pLen-1) {
103 for (int bit=0; bit < pLen-1; bit++){
104 parityWd = (parityWd << 1) | BitSource[word+bit];
105 dest[j++] = (BitSource[word+bit]);
106 }
0d2c5909 107
a126332a 108 // if parity fails then return 0
0d2c5909 109 switch (pType) {
110 case 3: dest[j++]=0; break; // marker bit which should be a 0
111 case 2: dest[j++]=1; break; // marker bit which should be a 1
112 default:
113 dest[j++] = parityTest(parityWd, pLen-1, pType) ^ 1;
114 break;
a126332a 115 }
116 bitCnt += pLen;
117 parityWd = 0;
118 }
119 // if we got here then all the parities passed
120 //return ID start index and size
121 return bitCnt;
122}
123
124uint32_t bytebits_to_byte(uint8_t *src, size_t numbits)
125{
126 uint32_t num = 0;
0d2c5909 127 for(int i = 0 ; i < numbits ; i++) {
a126332a 128 num = (num << 1) | (*src);
129 src++;
130 }
131 return num;
132}
133
134//least significant bit first
135uint32_t bytebits_to_byteLSBF(uint8_t *src, size_t numbits)
136{
137 uint32_t num = 0;
6b6815bc 138 for(int i = 0 ; i < numbits ; i++) {
a126332a 139 num = (num << 1) | *(src + (numbits-(i+1)));
140 }
141 return num;
142}
143
a1d17964 144//by marshmellow
2147c307 145//search for given preamble in given BitStream and return success=1 or fail=0 and startIndex and length
a1d17964 146uint8_t preambleSearch(uint8_t *BitStream, uint8_t *preamble, size_t pLen, size_t *size, size_t *startIdx)
147{
5735cfa5 148 // Sanity check. If preamble length is bigger than bitstream length.
149 if ( *size <= pLen ) return 0;
150
56d0fb8e 151 uint8_t foundCnt = 0;
152 for (int idx = 0; idx < *size - pLen; idx++){
e0165dcf 153 if (memcmp(BitStream+idx, preamble, pLen) == 0){
154 //first index found
155 foundCnt++;
156 if (foundCnt == 1){
157 *startIdx = idx;
158 }
159 if (foundCnt == 2){
160 *size = idx - *startIdx;
161 return 1;
162 }
163 }
164 }
165 return 0;
a1d17964 166}
167
2147c307 168//by marshmellow
169//takes 1s and 0s and searches for EM410x format - output EM ID
da1f16d6 170int Em410xDecode(uint8_t *BitStream, size_t *size, size_t *startIdx, uint32_t *hi, uint64_t *lo)
2147c307 171{
e0165dcf 172 //no arguments needed - built this way in case we want this to be a direct call from "data " cmds in the future
173 // otherwise could be a void with no arguments
174 //set defaults
175 uint32_t i = 0;
da1f16d6 176 if (BitStream[1]>1) return -1; //allow only 1s and 0s
2767fc02 177
e0165dcf 178 // 111111111 bit pattern represent start of frame
179 // include 0 in front to help get start pos
180 uint8_t preamble[] = {0,1,1,1,1,1,1,1,1,1};
181 uint32_t idx = 0;
182 uint32_t parityBits = 0;
183 uint8_t errChk = 0;
184 uint8_t FmtLen = 10;
185 *startIdx = 0;
186 errChk = preambleSearch(BitStream, preamble, sizeof(preamble), size, startIdx);
da1f16d6 187 if (errChk == 0 ) return -4;
188 if (*size < 64) return -3;
e0165dcf 189 if (*size > 64) FmtLen = 22;
190 *startIdx += 1; //get rid of 0 from preamble
191 idx = *startIdx + 9;
192 for (i=0; i<FmtLen; i++){ //loop through 10 or 22 sets of 5 bits (50-10p = 40 bits or 88 bits)
193 parityBits = bytebits_to_byte(BitStream+(i*5)+idx,5);
2eec55c8 194 //check even parity - quit if failed
da1f16d6 195 if (parityTest(parityBits, 5, 0) == 0) return -5;
e0165dcf 196 //set uint64 with ID from BitStream
197 for (uint8_t ii=0; ii<4; ii++){
198 *hi = (*hi << 1) | (*lo >> 63);
199 *lo = (*lo << 1) | (BitStream[(i*5)+ii+idx]);
200 }
201 }
202 if (errChk != 0) return 1;
203 //skip last 5 bit parity test for simplicity.
204 // *size = 64 | 128;
205 return 0;
2147c307 206}
207
fef74fdc 208//by marshmellow
209//demodulates strong heavily clipped samples
23f0a7d8 210int cleanAskRawDemod(uint8_t *BinStream, size_t *size, int clk, int invert, int high, int low)
211{
212 size_t bitCnt=0, smplCnt=0, errCnt=0;
213 uint8_t waveHigh = 0;
23f0a7d8 214 for (size_t i=0; i < *size; i++){
215 if (BinStream[i] >= high && waveHigh){
216 smplCnt++;
217 } else if (BinStream[i] <= low && !waveHigh){
218 smplCnt++;
219 } else { //transition
220 if ((BinStream[i] >= high && !waveHigh) || (BinStream[i] <= low && waveHigh)){
81e82dfe 221
23f0a7d8 222 if (smplCnt > clk-(clk/4)-1) { //full clock
a38f5a07 223 if (smplCnt > clk + (clk/4)+1) { //too many samples
23f0a7d8 224 errCnt++;
05164399 225 if (g_debugMode==2) prnt("DEBUG ASK: Modulation Error at: %u", i);
81e82dfe 226 BinStream[bitCnt++] = 7;
23f0a7d8 227 } else if (waveHigh) {
228 BinStream[bitCnt++] = invert;
229 BinStream[bitCnt++] = invert;
230 } else if (!waveHigh) {
231 BinStream[bitCnt++] = invert ^ 1;
232 BinStream[bitCnt++] = invert ^ 1;
233 }
234 waveHigh ^= 1;
235 smplCnt = 0;
236 } else if (smplCnt > (clk/2) - (clk/4)-1) {
237 if (waveHigh) {
238 BinStream[bitCnt++] = invert;
239 } else if (!waveHigh) {
240 BinStream[bitCnt++] = invert ^ 1;
241 }
242 waveHigh ^= 1;
243 smplCnt = 0;
244 } else if (!bitCnt) {
245 //first bit
246 waveHigh = (BinStream[i] >= high);
247 smplCnt = 1;
248 } else {
249 smplCnt++;
250 //transition bit oops
251 }
252 } else { //haven't hit new high or new low yet
253 smplCnt++;
254 }
255 }
256 }
257 *size = bitCnt;
258 return errCnt;
259}
260
eb191de6 261//by marshmellow
fef74fdc 262void askAmp(uint8_t *BitStream, size_t size)
263{
9686a8d4 264 uint8_t last = 128;
265 for(size_t i = 1; i < size; ++i){
266 if (BitStream[i]-BitStream[i-1] >= 30) //large jump up
267 last = 255;
268 else if(BitStream[i-1] - BitStream[i] >= 20) //large jump down
269 last = 0;
270
271 BitStream[i] = last;
fef74fdc 272 }
fef74fdc 273}
274
275//by marshmellow
276//attempts to demodulate ask modulations, askType == 0 for ask/raw, askType==1 for ask/manchester
277int askdemod(uint8_t *BinStream, size_t *size, int *clk, int *invert, int maxErr, uint8_t amp, uint8_t askType)
eb191de6 278{
fef74fdc 279 if (*size==0) return -1;
6e984446 280 int start = DetectASKClock(BinStream, *size, clk, maxErr); //clock default
2eec55c8 281 if (*clk==0 || start < 0) return -3;
fef74fdc 282 if (*invert != 1) *invert = 0;
283 if (amp==1) askAmp(BinStream, *size);
a38f5a07 284 if (g_debugMode==2) prnt("DEBUG ASK: clk %d, beststart %d, amp %d", *clk, start, amp);
fef74fdc 285
2eec55c8 286 uint8_t initLoopMax = 255;
287 if (initLoopMax > *size) initLoopMax = *size;
ba1a299c 288 // Detect high and lows
fef74fdc 289 //25% clip in case highs and lows aren't clipped [marshmellow]
2eec55c8 290 int high, low;
fef74fdc 291 if (getHiLo(BinStream, initLoopMax, &high, &low, 75, 75) < 1)
292 return -2; //just noise
ba1a299c 293
fef74fdc 294 size_t errCnt = 0;
23f0a7d8 295 // if clean clipped waves detected run alternate demod
296 if (DetectCleanAskWave(BinStream, *size, high, low)) {
05164399 297 if (g_debugMode==2) prnt("DEBUG ASK: Clean Wave Detected - using clean wave demod");
fef74fdc 298 errCnt = cleanAskRawDemod(BinStream, size, *clk, *invert, high, low);
299 if (askType) //askman
300 return manrawdecode(BinStream, size, 0);
81e82dfe 301 //askraw
302 return errCnt;
23f0a7d8 303 }
05164399 304 if (g_debugMode==2) prnt("DEBUG ASK: Weak Wave Detected - using weak wave demod");
23f0a7d8 305
fef74fdc 306 int lastBit; //set first clock check - can go negative
307 size_t i, bitnum = 0; //output counter
308 uint8_t midBit = 0;
2eec55c8 309 uint8_t tol = 0; //clock tolerance adjust - waves will be accepted as within the clock if they fall + or - this value + clock from last valid wave
fef74fdc 310 if (*clk <= 32) tol = 1; //clock tolerance may not be needed anymore currently set to + or - 1 but could be increased for poor waves or removed entirely
05164399 311 size_t MaxBits = 3072; //max bits to collect
6e984446 312 lastBit = start - *clk;
fef74fdc 313
6e984446 314 for (i = start; i < *size; ++i) {
fef74fdc 315 if (i-lastBit >= *clk-tol){
316 if (BinStream[i] >= high) {
317 BinStream[bitnum++] = *invert;
318 } else if (BinStream[i] <= low) {
319 BinStream[bitnum++] = *invert ^ 1;
320 } else if (i-lastBit >= *clk+tol) {
321 if (bitnum > 0) {
05164399 322 if (g_debugMode==2) prnt("DEBUG ASK: Modulation Error at: %u", i);
fef74fdc 323 BinStream[bitnum++]=7;
324 errCnt++;
325 }
326 } else { //in tolerance - looking for peak
327 continue;
328 }
329 midBit = 0;
2eec55c8 330 lastBit += *clk;
fef74fdc 331 } else if (i-lastBit >= (*clk/2-tol) && !midBit && !askType){
332 if (BinStream[i] >= high) {
333 BinStream[bitnum++] = *invert;
334 } else if (BinStream[i] <= low) {
335 BinStream[bitnum++] = *invert ^ 1;
336 } else if (i-lastBit >= *clk/2+tol) {
337 BinStream[bitnum] = BinStream[bitnum-1];
338 bitnum++;
339 } else { //in tolerance - looking for peak
340 continue;
341 }
342 midBit = 1;
2eec55c8 343 }
344 if (bitnum >= MaxBits) break;
ba1a299c 345 }
2eec55c8 346 *size = bitnum;
6e984446 347 return errCnt;
eb191de6 348}
eb191de6 349//by marshmellow
350//take 10 and 01 and manchester decode
351//run through 2 times and take least errCnt
81e82dfe 352int manrawdecode(uint8_t * BitStream, size_t *size, uint8_t invert){
5f5b83b7 353 int errCnt = 0, bestErr = 1000;
354 uint16_t bitnum = 0, MaxBits = 512, bestRun = 0;
81e82dfe 355 size_t i, k;
fef74fdc 356 if (*size < 16) return -1;
2767fc02 357 //find correct start position [alignment]
81e82dfe 358 for (k=0; k < 2; ++k){
359 for (i=k; i<*size-3; i += 2)
360 if (BitStream[i] == BitStream[i+1])
ba1a299c 361 errCnt++;
2eec55c8 362
81e82dfe 363 if (bestErr > errCnt){
364 bestErr = errCnt;
365 bestRun = k;
ba1a299c 366 }
367 errCnt=0;
368 }
2767fc02 369 //decode
81e82dfe 370 for (i=bestRun; i < *size-3; i += 2){
371 if (BitStream[i] == 1 && (BitStream[i+1] == 0)){
372 BitStream[bitnum++] = invert;
373 } else if ((BitStream[i] == 0) && BitStream[i+1] == 1){
374 BitStream[bitnum++] = invert^1;
23f0a7d8 375 } else {
81e82dfe 376 BitStream[bitnum++] = 7;
ba1a299c 377 }
81e82dfe 378 if (bitnum>MaxBits) break;
ba1a299c 379 }
23f0a7d8 380 *size=bitnum;
2eec55c8 381 return bestErr;
f822a063 382}
383
1d0ccbe0 384uint32_t manchesterEncode2Bytes(uint16_t datain) {
385 uint32_t output = 0;
386 uint8_t curBit = 0;
387 for (uint8_t i=0; i<16; i++) {
388 curBit = (datain >> (15-i) & 1);
389 output |= (1<<(((15-i)*2)+curBit));
390 }
391 return output;
392}
393
fef74fdc 394//by marshmellow
395//encode binary data into binary manchester
396int ManchesterEncode(uint8_t *BitStream, size_t size)
397{
398 size_t modIdx=20000, i=0;
399 if (size>modIdx) return -1;
400 for (size_t idx=0; idx < size; idx++){
401 BitStream[idx+modIdx++] = BitStream[idx];
402 BitStream[idx+modIdx++] = BitStream[idx]^1;
403 }
404 for (; i<(size*2); i++){
405 BitStream[i] = BitStream[i+20000];
406 }
407 return i;
408}
409
f822a063 410//by marshmellow
2147c307 411//take 01 or 10 = 1 and 11 or 00 = 0
412//check for phase errors - should never have 111 or 000 should be 01001011 or 10110100 for 1010
13d77ef9 413//decodes biphase or if inverted it is AKA conditional dephase encoding AKA differential manchester encoding
1e090a61 414int BiphaseRawDecode(uint8_t *BitStream, size_t *size, int offset, int invert)
f822a063 415{
2eec55c8 416 uint16_t bitnum = 0;
417 uint16_t errCnt = 0;
418 size_t i = offset;
2147c307 419 uint16_t MaxBits=512;
420 //if not enough samples - error
421 if (*size < 51) return -1;
422 //check for phase change faults - skip one sample if faulty
423 uint8_t offsetA = 1, offsetB = 1;
424 for (; i<48; i+=2){
425 if (BitStream[i+1]==BitStream[i+2]) offsetA=0;
426 if (BitStream[i+2]==BitStream[i+3]) offsetB=0;
427 }
428 if (!offsetA && offsetB) offset++;
429 for (i=offset; i<*size-3; i+=2){
430 //check for phase error
13d77ef9 431 if (BitStream[i+1]==BitStream[i+2]) {
2767fc02 432 BitStream[bitnum++]=7;
2147c307 433 errCnt++;
434 }
ba1a299c 435 if((BitStream[i]==1 && BitStream[i+1]==0) || (BitStream[i]==0 && BitStream[i+1]==1)){
1e090a61 436 BitStream[bitnum++]=1^invert;
ba1a299c 437 } else if((BitStream[i]==0 && BitStream[i+1]==0) || (BitStream[i]==1 && BitStream[i+1]==1)){
1e090a61 438 BitStream[bitnum++]=invert;
ba1a299c 439 } else {
2767fc02 440 BitStream[bitnum++]=7;
ba1a299c 441 errCnt++;
442 }
6de43508 443 if(bitnum>MaxBits) break;
ba1a299c 444 }
445 *size=bitnum;
446 return errCnt;
eb191de6 447}
448
fef74fdc 449// by marshmellow
11081e04 450// demod gProxIIDemod
451// error returns as -x
452// success returns start position in BitStream
453// BitStream must contain previously askrawdemod and biphasedemoded data
454int gProxII_Demod(uint8_t BitStream[], size_t *size)
455{
456 size_t startIdx=0;
457 uint8_t preamble[] = {1,1,1,1,1,0};
458
459 uint8_t errChk = preambleSearch(BitStream, preamble, sizeof(preamble), size, &startIdx);
460 if (errChk == 0) return -3; //preamble not found
461 if (*size != 96) return -2; //should have found 96 bits
462 //check first 6 spacer bits to verify format
463 if (!BitStream[startIdx+5] && !BitStream[startIdx+10] && !BitStream[startIdx+15] && !BitStream[startIdx+20] && !BitStream[startIdx+25] && !BitStream[startIdx+30]){
464 //confirmed proper separator bits found
465 //return start position
466 return (int) startIdx;
467 }
7fa7e812 468 return -5; //spacer bits not found - not a valid gproxII
11081e04 469}
470
7fa7e812 471//translate wave to 11111100000 (1 for each short wave [higher freq] 0 for each long wave [lower freq])
f822a063 472size_t fsk_wave_demod(uint8_t * dest, size_t size, uint8_t fchigh, uint8_t fclow)
eb191de6 473{
2eec55c8 474 size_t last_transition = 0;
475 size_t idx = 1;
ac3ba7ee 476 //uint32_t maxVal=0;
ba1a299c 477 if (fchigh==0) fchigh=10;
478 if (fclow==0) fclow=8;
84871873 479 //set the threshold close to 0 (graph) or 128 std to avoid static
480 uint8_t threshold_value = 123;
ac2df346 481 size_t preLastSample = 0;
482 size_t LastSample = 0;
483 size_t currSample = 0;
ba1a299c 484 // sync to first lo-hi transition, and threshold
485
486 // Need to threshold first sample
6426f6ba 487 // skip 160 samples to allow antenna/samples to settle
488 if(dest[160] < threshold_value) dest[0] = 0;
ba1a299c 489 else dest[0] = 1;
490
491 size_t numBits = 0;
492 // count cycles between consecutive lo-hi transitions, there should be either 8 (fc/8)
cd0bed3c 493 // or 10 (fc/10) cycles but in practice due to noise etc we may end up with anywhere
ba1a299c 494 // between 7 to 11 cycles so fuzz it by treat anything <9 as 8 and anything else as 10
7fa7e812 495 // (could also be fc/5 && fc/7 for fsk1 = 4-9)
6426f6ba 496 for(idx = 161; idx < size-20; idx++) {
ba1a299c 497 // threshold current value
498
499 if (dest[idx] < threshold_value) dest[idx] = 0;
500 else dest[idx] = 1;
501
502 // Check for 0->1 transition
7fa7e812 503 if (dest[idx-1] < dest[idx]) {
ac2df346 504 preLastSample = LastSample;
505 LastSample = currSample;
506 currSample = idx-last_transition;
6426f6ba 507 if (currSample < (fclow-2)){ //0-5 = garbage noise (or 0-3)
ba1a299c 508 //do nothing with extra garbage
7fa7e812 509 } else if (currSample < (fchigh-1)) { //6-8 = 8 sample waves (or 3-6 = 5)
510 //correct previous 9 wave surrounded by 8 waves (or 6 surrounded by 5)
6426f6ba 511 if (LastSample > (fchigh-2) && (preLastSample < (fchigh-1) || preLastSample == 0 )){
7fa7e812 512 dest[numBits-1]=1;
ac2df346 513 }
2eec55c8 514 dest[numBits++]=1;
ac2df346 515
7fa7e812 516 } else if (currSample > (fchigh) && !numBits) { //12 + and first bit = unusable garbage
13d77ef9 517 //do nothing with beginning garbage
7fa7e812 518 } else if (currSample == (fclow+1) && LastSample == (fclow-1)) { // had a 7 then a 9 should be two 8's (or 4 then a 6 should be two 5's)
ac2df346 519 dest[numBits++]=1;
7fa7e812 520 } else { //9+ = 10 sample waves (or 6+ = 7)
2eec55c8 521 dest[numBits++]=0;
ba1a299c 522 }
523 last_transition = idx;
ba1a299c 524 }
525 }
526 return numBits; //Actually, it returns the number of bytes, but each byte represents a bit: 1 or 0
eb191de6 527}
528
ba1a299c 529//translate 11111100000 to 10
7fa7e812 530//rfLen = clock, fchigh = larger field clock, fclow = smaller field clock
2eec55c8 531size_t aggregate_bits(uint8_t *dest, size_t size, uint8_t rfLen,
e0165dcf 532 uint8_t invert, uint8_t fchigh, uint8_t fclow)
eb191de6 533{
ba1a299c 534 uint8_t lastval=dest[0];
2eec55c8 535 size_t idx=0;
ba1a299c 536 size_t numBits=0;
537 uint32_t n=1;
ba1a299c 538 for( idx=1; idx < size; idx++) {
13d77ef9 539 n++;
a38f5a07 540 if (dest[idx]==lastval) continue; //skip until we hit a transition
2eec55c8 541
7fa7e812 542 //find out how many bits (n) we collected
ba1a299c 543 //if lastval was 1, we have a 1->0 crossing
13d77ef9 544 if (dest[idx-1]==1) {
75cbbe9a 545 n = (n * fclow + rfLen/2) / rfLen;
13d77ef9 546 } else {// 0->1 crossing
75cbbe9a 547 n = (n * fchigh + rfLen/2) / rfLen;
ba1a299c 548 }
549 if (n == 0) n = 1;
550
7fa7e812 551 //add to our destination the bits we collected
2eec55c8 552 memset(dest+numBits, dest[idx-1]^invert , n);
553 numBits += n;
ba1a299c 554 n=0;
555 lastval=dest[idx];
556 }//end for
13d77ef9 557 // if valid extra bits at the end were all the same frequency - add them in
75cbbe9a 558 if (n > rfLen/fchigh) {
13d77ef9 559 if (dest[idx-2]==1) {
75cbbe9a 560 n = (n * fclow + rfLen/2) / rfLen;
13d77ef9 561 } else {
75cbbe9a 562 n = (n * fchigh + rfLen/2) / rfLen;
13d77ef9 563 }
2eec55c8 564 memset(dest+numBits, dest[idx-1]^invert , n);
13d77ef9 565 numBits += n;
566 }
ba1a299c 567 return numBits;
eb191de6 568}
6426f6ba 569
eb191de6 570//by marshmellow (from holiman's base)
571// full fsk demod from GraphBuffer wave to decoded 1s and 0s (no mandemod)
f822a063 572int fskdemod(uint8_t *dest, size_t size, uint8_t rfLen, uint8_t invert, uint8_t fchigh, uint8_t fclow)
eb191de6 573{
ba1a299c 574 // FSK demodulator
575 size = fsk_wave_demod(dest, size, fchigh, fclow);
2eec55c8 576 size = aggregate_bits(dest, size, rfLen, invert, fchigh, fclow);
ba1a299c 577 return size;
eb191de6 578}
a1d17964 579
eb191de6 580// loop to get raw HID waveform then FSK demodulate the TAG ID from it
ec75f5c1 581int HIDdemodFSK(uint8_t *dest, size_t *size, uint32_t *hi2, uint32_t *hi, uint32_t *lo)
eb191de6 582{
e0165dcf 583 if (justNoise(dest, *size)) return -1;
584
337f7036 585 size_t numStart=0, size2 = *size, startIdx=0;
e0165dcf 586 // FSK demodulator
587 *size = fskdemod(dest, size2,50,1,10,8); //fsk2a
2eec55c8 588 if (*size < 96*2) return -2;
e0165dcf 589 // 00011101 bit pattern represent start of frame, 01 pattern represents a 0 and 10 represents a 1
590 uint8_t preamble[] = {0,0,0,1,1,1,0,1};
591 // find bitstring in array
592 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
593 if (errChk == 0) return -3; //preamble not found
594
595 numStart = startIdx + sizeof(preamble);
596 // final loop, go over previously decoded FSK data and manchester decode into usable tag ID
597 for (size_t idx = numStart; (idx-numStart) < *size - sizeof(preamble); idx+=2){
598 if (dest[idx] == dest[idx+1]){
599 return -4; //not manchester data
600 }
601 *hi2 = (*hi2<<1)|(*hi>>31);
602 *hi = (*hi<<1)|(*lo>>31);
603 //Then, shift in a 0 or one into low
81b7e894 604 *lo <<= 1;
e0165dcf 605 if (dest[idx] && !dest[idx+1]) // 1 0
81b7e894 606 *lo |= 1;
e0165dcf 607 else // 0 1
81b7e894 608 *lo |= 0;
e0165dcf 609 }
610 return (int)startIdx;
eb191de6 611}
612
ec75f5c1 613// loop to get raw paradox waveform then FSK demodulate the TAG ID from it
a1d17964 614int ParadoxdemodFSK(uint8_t *dest, size_t *size, uint32_t *hi2, uint32_t *hi, uint32_t *lo)
ec75f5c1 615{
a1d17964 616 if (justNoise(dest, *size)) return -1;
617
337f7036 618 size_t numStart=0, size2 = *size, startIdx=0;
ec75f5c1 619 // FSK demodulator
a1d17964 620 *size = fskdemod(dest, size2,50,1,10,8); //fsk2a
621 if (*size < 96) return -2;
ec75f5c1 622
a1d17964 623 // 00001111 bit pattern represent start of frame, 01 pattern represents a 0 and 10 represents a 1
624 uint8_t preamble[] = {0,0,0,0,1,1,1,1};
625
626 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
627 if (errChk == 0) return -3; //preamble not found
628
629 numStart = startIdx + sizeof(preamble);
630 // final loop, go over previously decoded FSK data and manchester decode into usable tag ID
631 for (size_t idx = numStart; (idx-numStart) < *size - sizeof(preamble); idx+=2){
632 if (dest[idx] == dest[idx+1])
633 return -4; //not manchester data
634 *hi2 = (*hi2<<1)|(*hi>>31);
635 *hi = (*hi<<1)|(*lo>>31);
636 //Then, shift in a 0 or one into low
637 if (dest[idx] && !dest[idx+1]) // 1 0
638 *lo=(*lo<<1)|1;
639 else // 0 1
640 *lo=(*lo<<1)|0;
ec75f5c1 641 }
a1d17964 642 return (int)startIdx;
ec75f5c1 643}
644
eb191de6 645int IOdemodFSK(uint8_t *dest, size_t size)
646{
a1d17964 647 if (justNoise(dest, size)) return -1;
ba1a299c 648 //make sure buffer has data
a1d17964 649 if (size < 66*64) return -2;
ba1a299c 650 // FSK demodulator
a1d17964 651 size = fskdemod(dest, size, 64, 1, 10, 8); // FSK2a RF/64
652 if (size < 65) return -3; //did we get a good demod?
ba1a299c 653 //Index map
654 //0 10 20 30 40 50 60
655 //| | | | | | |
656 //01234567 8 90123456 7 89012345 6 78901234 5 67890123 4 56789012 3 45678901 23
657 //-----------------------------------------------------------------------------
658 //00000000 0 11110000 1 facility 1 version* 1 code*one 1 code*two 1 ???????? 11
659 //
660 //XSF(version)facility:codeone+codetwo
661 //Handle the data
a1d17964 662 size_t startIdx = 0;
663 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,1};
664 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), &size, &startIdx);
665 if (errChk == 0) return -4; //preamble not found
eb191de6 666
a1d17964 667 if (!dest[startIdx+8] && dest[startIdx+17]==1 && dest[startIdx+26]==1 && dest[startIdx+35]==1 && dest[startIdx+44]==1 && dest[startIdx+53]==1){
668 //confirmed proper separator bits found
669 //return start position
670 return (int) startIdx;
1e090a61 671 }
a1d17964 672 return -5;
1e090a61 673}
674
70459879 675// by marshmellow
676// find viking preamble 0xF200 in already demoded data
677int VikingDemod_AM(uint8_t *dest, size_t *size) {
70459879 678 //make sure buffer has data
679 if (*size < 64*2) return -2;
70459879 680 size_t startIdx = 0;
681 uint8_t preamble[] = {1,1,1,1,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
682 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
683 if (errChk == 0) return -4; //preamble not found
c0afa86f 684 uint32_t checkCalc = bytebits_to_byte(dest+startIdx,8) ^
685 bytebits_to_byte(dest+startIdx+8,8) ^
686 bytebits_to_byte(dest+startIdx+16,8) ^
687 bytebits_to_byte(dest+startIdx+24,8) ^
688 bytebits_to_byte(dest+startIdx+32,8) ^
689 bytebits_to_byte(dest+startIdx+40,8) ^
690 bytebits_to_byte(dest+startIdx+48,8) ^
691 bytebits_to_byte(dest+startIdx+56,8);
692 if ( checkCalc != 0xA8 ) return -5;
57c7b44b 693 if (*size != 64) return -6;
70459879 694 //return start position
deba67ab 695 return (int)startIdx;
70459879 696}
697
5daad826 698// by iceman
699// find Visa2000 preamble in already demoded data
700int Visa2kDemod_AM(uint8_t *dest, size_t *size) {
371a40bf 701 if (*size < 96) return -1; //make sure buffer has data
5daad826 702 size_t startIdx = 0;
703 uint8_t preamble[] = {0,1,0,1,0,1,1,0,0,1,0,0,1,0,0,1,0,1,0,1,0,0,1,1,0,0,1,1,0,0,1,0};
704 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
705 if (errChk == 0) return -2; //preamble not found
706 if (*size != 96) return -3; //wrong demoded size
707 //return start position
deba67ab 708 return (int)startIdx;
5daad826 709}
207fc33a 710// by iceman
711// find Noralsy preamble in already demoded data
712int NoralsyDemod_AM(uint8_t *dest, size_t *size) {
7d269265 713 if (*size < 96) return -1; //make sure buffer has data
207fc33a 714 size_t startIdx = 0;
715 uint8_t preamble[] = {1,0,1,1,1,0,1,1,0,0,0,0};
716 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
717 if (errChk == 0) return -2; //preamble not found
718 if (*size != 96) return -3; //wrong demoded size
719 //return start position
deba67ab 720 return (int)startIdx;
207fc33a 721}
4469412e 722// find presco preamble 0x10D in already demoded data
723int PrescoDemod(uint8_t *dest, size_t *size) {
d48175d4 724 if (*size < 128*2) return -1; //make sure buffer has data
4469412e 725 size_t startIdx = 0;
d48175d4 726 uint8_t preamble[] = {0,0,0,1,0,0,0,0,1,1,0,1,0,0,0,0,0,0,0,0,0,0,0};
4469412e 727 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
d48175d4 728 if (errChk == 0) return -2; //preamble not found
729 if (*size != 128) return -3; //wrong demoded size
4469412e 730 //return start position
deba67ab 731 return (int)startIdx;
4469412e 732}
733
615f21dd 734// Ask/Biphase Demod then try to locate an ISO 11784/85 ID
0df669a2 735// BitStream must contain previously askrawdemod and biphasedemoded data
deba67ab 736int FDXBdemodBI(uint8_t *dest, size_t *size) {
737 if (*size < 128*2) return -1; //make sure buffer has enough data
615f21dd 738 size_t startIdx = 0;
0df669a2 739 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,1};
615f21dd 740 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
0df669a2 741 if (errChk == 0) return -2; //preamble not found
deba67ab 742 if (*size != 128) return -3; //wrong demoded size
743 //return start position
615f21dd 744 return (int)startIdx;
745}
1e090a61 746
6c283951 747// ASK/Diphase fc/64 (inverted Biphase)
748// Note: this i s not a demod, this is only a detection
749// the parameter *dest needs to be demoded before call
deba67ab 750// 0xFFFF preamble, 64bits
6c283951 751int JablotronDemod(uint8_t *dest, size_t *size){
deba67ab 752 if (*size < 64*2) return -1; //make sure buffer has enough data
6c283951 753 size_t startIdx = 0;
deba67ab 754 uint8_t preamble[] = {1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0};
6c283951 755 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
deba67ab 756 if (errChk == 0) return -2; //preamble not found
757 if (*size != 64) return -3; // wrong demoded size
6c283951 758
29ce214c 759 uint8_t checkchksum = 0;
6c283951 760 for (int i=16; i < 56; i += 8) {
29ce214c 761 checkchksum += bytebits_to_byte(dest+startIdx+i,8);
6c283951 762 }
29ce214c 763 checkchksum ^= 0x3A;
29ce214c 764 uint8_t crc = bytebits_to_byte(dest+startIdx+56, 8);
deba67ab 765 if ( checkchksum != crc ) return -5;
6c283951 766 return (int)startIdx;
767}
768
1e090a61 769// by marshmellow
770// FSK Demod then try to locate an AWID ID
a1d17964 771int AWIDdemodFSK(uint8_t *dest, size_t *size)
1e090a61 772{
a1d17964 773 //make sure buffer has enough data
774 if (*size < 96*50) return -1;
775
776 if (justNoise(dest, *size)) return -2;
1e090a61 777
778 // FSK demodulator
a1d17964 779 *size = fskdemod(dest, *size, 50, 1, 10, 8); // fsk2a RF/50
780 if (*size < 96) return -3; //did we get a good demod?
781
782 uint8_t preamble[] = {0,0,0,0,0,0,0,1};
783 size_t startIdx = 0;
784 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
785 if (errChk == 0) return -4; //preamble not found
786 if (*size != 96) return -5;
787 return (int)startIdx;
1e090a61 788}
789
790// by marshmellow
6426f6ba 791// FSK Demod then try to locate a Farpointe Data (pyramid) ID
a1d17964 792int PyramiddemodFSK(uint8_t *dest, size_t *size)
1e090a61 793{
f3bf15e4 794 //make sure buffer has data
795 if (*size < 128*50) return -5;
a1d17964 796
f3bf15e4 797 //test samples are not just noise
798 if (justNoise(dest, *size)) return -1;
1e090a61 799
f3bf15e4 800 // FSK demodulator
801 *size = fskdemod(dest, *size, 50, 1, 10, 8); // fsk2a RF/50
802 if (*size < 128) return -2; //did we get a good demod?
a1d17964 803
c08c796e 804 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,1};
a1d17964 805 size_t startIdx = 0;
806 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
807 if (errChk == 0) return -4; //preamble not found
808 if (*size != 128) return -3;
809 return (int)startIdx;
1e090a61 810}
811
0cbe0cb8 812// find nedap preamble in already demoded data
56bbb25a 813int NedapDemod(uint8_t *dest, size_t *size) {
814 //make sure buffer has data
815 if (*size < 128) return -3;
96faed21 816
56bbb25a 817 size_t startIdx = 0;
15d49e82 818 //uint8_t preamble[] = {1,1,1,1,1,1,1,1,1,0,0,0,1};
819 uint8_t preamble[] = {1,1,1,1,1,1,1,1,1,0};
56bbb25a 820 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
821 if (errChk == 0) return -4; //preamble not found
56bbb25a 822 return (int) startIdx;
823}
824
3acac886 825// Find IDTEC PSK1, RF Preamble == 0x4944544B, Demodsize 64bits
826// by iceman
827int IdteckDemodPSK(uint8_t *dest, size_t *size) {
828 //make sure buffer has data
829 if (*size < 64*2) return -1;
830 size_t startIdx = 0;
831 uint8_t preamble[] = {0,1,0,0,1,0,0,1,0,1,0,0,0,1,0,0,0,1,0,1,0,1,0,0,0,1,0,0,1,0,1,1};
832 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
833 if (errChk == 0) return -2; //preamble not found
834 if (*size != 64) return -3; // wrong demoded size
835 return (int) startIdx;
836}
837
fef74fdc 838// by marshmellow
839// to detect a wave that has heavily clipped (clean) samples
cc15a118 840uint8_t DetectCleanAskWave(uint8_t dest[], size_t size, uint8_t high, uint8_t low)
6de43508 841{
6426f6ba 842 bool allArePeaks = true;
6de43508 843 uint16_t cntPeaks=0;
6426f6ba 844 size_t loopEnd = 512+160;
1fbf8956 845 if (loopEnd > size) loopEnd = size;
6426f6ba 846 for (size_t i=160; i<loopEnd; i++){
6de43508 847 if (dest[i]>low && dest[i]<high)
6426f6ba 848 allArePeaks = false;
6de43508 849 else
850 cntPeaks++;
851 }
6426f6ba 852 if (!allArePeaks){
853 if (cntPeaks > 300) return true;
6de43508 854 }
6426f6ba 855 return allArePeaks;
6de43508 856}
2eec55c8 857// by marshmellow
858// to help detect clocks on heavily clipped samples
cc15a118 859// based on count of low to low
860int DetectStrongAskClock(uint8_t dest[], size_t size, uint8_t high, uint8_t low)
13d77ef9 861{
cc15a118 862 uint8_t fndClk[] = {8,16,32,40,50,64,128};
863 size_t startwave;
6426f6ba 864 size_t i = 100;
cc15a118 865 size_t minClk = 255;
866 // get to first full low to prime loop and skip incomplete first pulse
867 while ((dest[i] < high) && (i < size))
868 ++i;
869 while ((dest[i] > low) && (i < size))
870 ++i;
871
872 // loop through all samples
873 while (i < size) {
874 // measure from low to low
875 while ((dest[i] > low) && (i < size))
876 ++i;
877 startwave= i;
878 while ((dest[i] < high) && (i < size))
879 ++i;
880 while ((dest[i] > low) && (i < size))
881 ++i;
882 //get minimum measured distance
883 if (i-startwave < minClk && i < size)
884 minClk = i - startwave;
13d77ef9 885 }
cc15a118 886 // set clock
a126332a 887 if (g_debugMode==2) prnt("DEBUG ASK: detectstrongASKclk smallest wave: %d",minClk);
cc15a118 888 for (uint8_t clkCnt = 0; clkCnt<7; clkCnt++) {
889 if (minClk >= fndClk[clkCnt]-(fndClk[clkCnt]/8) && minClk <= fndClk[clkCnt]+1)
890 return fndClk[clkCnt];
13d77ef9 891 }
cc15a118 892 return 0;
13d77ef9 893}
894
eb191de6 895// by marshmellow
896// not perfect especially with lower clocks or VERY good antennas (heavy wave clipping)
897// maybe somehow adjust peak trimming value based on samples to fix?
6de43508 898// return start index of best starting position for that clock and return clock (by reference)
899int DetectASKClock(uint8_t dest[], size_t size, int *clock, int maxErr)
eb191de6 900{
6e984446 901 size_t i=1;
cc15a118 902 uint8_t clk[] = {255,8,16,32,40,50,64,100,128,255};
903 uint8_t clkEnd = 9;
2eec55c8 904 uint8_t loopCnt = 255; //don't need to loop through entire array...
6426f6ba 905 if (size <= loopCnt+60) return -1; //not enough samples
906 size -= 60; //sometimes there is a strange end wave - filter out this....
6e984446 907 //if we already have a valid clock
908 uint8_t clockFnd=0;
cc15a118 909 for (;i<clkEnd;++i)
910 if (clk[i] == *clock) clockFnd = i;
6e984446 911 //clock found but continue to find best startpos
e0165dcf 912
913 //get high and low peak
914 int peak, low;
2eec55c8 915 if (getHiLo(dest, loopCnt, &peak, &low, 75, 75) < 1) return -1;
e0165dcf 916
917 //test for large clean peaks
cc15a118 918 if (!clockFnd){
919 if (DetectCleanAskWave(dest, size, peak, low)==1){
920 int ans = DetectStrongAskClock(dest, size, peak, low);
a126332a 921 if (g_debugMode==2) prnt("DEBUG ASK: detectaskclk Clean Ask Wave Detected: clk %d",ans);
cc15a118 922 for (i=clkEnd-1; i>0; i--){
923 if (clk[i] == ans) {
924 *clock = ans;
925 //clockFnd = i;
926 return 0; // for strong waves i don't use the 'best start position' yet...
927 //break; //clock found but continue to find best startpos [not yet]
928 }
e0165dcf 929 }
930 }
931 }
2eec55c8 932 uint8_t ii;
933 uint8_t clkCnt, tol = 0;
934 uint16_t bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
935 uint8_t bestStart[]={0,0,0,0,0,0,0,0,0};
936 size_t errCnt = 0;
937 size_t arrLoc, loopEnd;
6e984446 938
cc15a118 939 if (clockFnd>0) {
940 clkCnt = clockFnd;
941 clkEnd = clockFnd+1;
81e82dfe 942 } else {
943 clkCnt=1;
cc15a118 944 }
cc15a118 945
946 //test each valid clock from smallest to greatest to see which lines up
81e82dfe 947 for(; clkCnt < clkEnd; clkCnt++) {
948 if (clk[clkCnt] <= 32) {
e0165dcf 949 tol=1;
81e82dfe 950 } else {
e0165dcf 951 tol=0;
952 }
2767fc02 953 //if no errors allowed - keep start within the first clock
81e82dfe 954 if (!maxErr && size > clk[clkCnt]*2 + tol && clk[clkCnt]<128)
955 loopCnt = clk[clkCnt] * 2;
956
957 bestErr[clkCnt] = 1000;
958
6e984446 959 //try lining up the peaks by moving starting point (try first few clocks)
cc15a118 960 for (ii=0; ii < loopCnt; ii++){
2eec55c8 961 if (dest[ii] < peak && dest[ii] > low) continue;
962
81e82dfe 963 errCnt = 0;
2eec55c8 964 // now that we have the first one lined up test rest of wave array
965 loopEnd = ((size-ii-tol) / clk[clkCnt]) - 1;
966 for (i=0; i < loopEnd; ++i){
967 arrLoc = ii + (i * clk[clkCnt]);
968 if (dest[arrLoc] >= peak || dest[arrLoc] <= low){
969 }else if (dest[arrLoc-tol] >= peak || dest[arrLoc-tol] <= low){
970 }else if (dest[arrLoc+tol] >= peak || dest[arrLoc+tol] <= low){
971 }else{ //error no peak detected
972 errCnt++;
e0165dcf 973 }
974 }
cc15a118 975 //if we found no errors then we can stop here and a low clock (common clocks)
2eec55c8 976 // this is correct one - return this clock
81e82dfe 977 if (g_debugMode == 2) prnt("DEBUG ASK: clk %d, err %d, startpos %d, endpos %d", clk[clkCnt], errCnt, ii, i);
978 if (errCnt==0 && clkCnt<7) {
cc15a118 979 if (!clockFnd) *clock = clk[clkCnt];
2eec55c8 980 return ii;
981 }
982 //if we found errors see if it is lowest so far and save it as best run
81e82dfe 983 if (errCnt < bestErr[clkCnt]) {
984 bestErr[clkCnt] = errCnt;
985 bestStart[clkCnt] = ii;
2eec55c8 986 }
e0165dcf 987 }
988 }
81e82dfe 989 uint8_t k;
990 uint8_t best = 0;
991 for (k=1; k < clkEnd; ++k){
992 if (bestErr[k] < bestErr[best]){
993 if (bestErr[k] == 0) bestErr[k]=1;
e0165dcf 994 // current best bit to error ratio vs new bit to error ratio
81e82dfe 995 if ( (size/clk[best])/bestErr[best] < (size/clk[k])/bestErr[k] ){
996 best = k;
e0165dcf 997 }
998 }
81e82dfe 999 if (g_debugMode == 2) prnt("DEBUG ASK: clk %d, # Errors %d, Current Best Clk %d, bestStart %d", clk[k], bestErr[k], clk[best], bestStart[best]);
e0165dcf 1000 }
cc15a118 1001 if (!clockFnd) *clock = clk[best];
e0165dcf 1002 return bestStart[best];
eb191de6 1003}
ba1a299c 1004
1005//by marshmellow
6de43508 1006//detect psk clock by reading each phase shift
1007// a phase shift is determined by measuring the sample length of each wave
1008int DetectPSKClock(uint8_t dest[], size_t size, int clock)
ba1a299c 1009{
e0165dcf 1010 uint8_t clk[]={255,16,32,40,50,64,100,128,255}; //255 is not a valid clock
1011 uint16_t loopCnt = 4096; //don't need to loop through entire array...
1012 if (size == 0) return 0;
6426f6ba 1013 if (size<loopCnt) loopCnt = size-20;
e0165dcf 1014
1015 //if we already have a valid clock quit
1016 size_t i=1;
1017 for (; i < 8; ++i)
1018 if (clk[i] == clock) return clock;
1019
1020 size_t waveStart=0, waveEnd=0, firstFullWave=0, lastClkBit=0;
1021 uint8_t clkCnt, fc=0, fullWaveLen=0, tol=1;
1022 uint16_t peakcnt=0, errCnt=0, waveLenCnt=0;
1023 uint16_t bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
1024 uint16_t peaksdet[]={0,0,0,0,0,0,0,0,0};
2eec55c8 1025 fc = countFC(dest, size, 0);
1026 if (fc!=2 && fc!=4 && fc!=8) return -1;
a126332a 1027 if (g_debugMode==2) prnt("DEBUG PSK: FC: %d",fc);
e0165dcf 1028
1029 //find first full wave
6426f6ba 1030 for (i=160; i<loopCnt; i++){
e0165dcf 1031 if (dest[i] < dest[i+1] && dest[i+1] >= dest[i+2]){
1032 if (waveStart == 0) {
1033 waveStart = i+1;
6426f6ba 1034 //prnt("DEBUG: waveStart: %d",waveStart);
e0165dcf 1035 } else {
1036 waveEnd = i+1;
6426f6ba 1037 //prnt("DEBUG: waveEnd: %d",waveEnd);
e0165dcf 1038 waveLenCnt = waveEnd-waveStart;
1039 if (waveLenCnt > fc){
1040 firstFullWave = waveStart;
1041 fullWaveLen=waveLenCnt;
1042 break;
1043 }
1044 waveStart=0;
1045 }
1046 }
1047 }
a126332a 1048 if (g_debugMode ==2) prnt("DEBUG PSK: firstFullWave: %d, waveLen: %d",firstFullWave,fullWaveLen);
e0165dcf 1049
1050 //test each valid clock from greatest to smallest to see which lines up
1051 for(clkCnt=7; clkCnt >= 1 ; clkCnt--){
1052 lastClkBit = firstFullWave; //set end of wave as clock align
1053 waveStart = 0;
1054 errCnt=0;
1055 peakcnt=0;
a126332a 1056 if (g_debugMode == 2) prnt("DEBUG PSK: clk: %d, lastClkBit: %d",clk[clkCnt],lastClkBit);
e0165dcf 1057
1058 for (i = firstFullWave+fullWaveLen-1; i < loopCnt-2; i++){
1059 //top edge of wave = start of new wave
1060 if (dest[i] < dest[i+1] && dest[i+1] >= dest[i+2]){
1061 if (waveStart == 0) {
1062 waveStart = i+1;
1063 waveLenCnt=0;
1064 } else { //waveEnd
1065 waveEnd = i+1;
1066 waveLenCnt = waveEnd-waveStart;
1067 if (waveLenCnt > fc){
1068 //if this wave is a phase shift
a126332a 1069 if (g_debugMode == 2) prnt("DEBUG PSK: phase shift at: %d, len: %d, nextClk: %d, i: %d, fc: %d",waveStart,waveLenCnt,lastClkBit+clk[clkCnt]-tol,i+1,fc);
e0165dcf 1070 if (i+1 >= lastClkBit + clk[clkCnt] - tol){ //should be a clock bit
1071 peakcnt++;
1072 lastClkBit+=clk[clkCnt];
1073 } else if (i<lastClkBit+8){
1074 //noise after a phase shift - ignore
1075 } else { //phase shift before supposed to based on clock
1076 errCnt++;
1077 }
1078 } else if (i+1 > lastClkBit + clk[clkCnt] + tol + fc){
1079 lastClkBit+=clk[clkCnt]; //no phase shift but clock bit
1080 }
1081 waveStart=i+1;
1082 }
1083 }
1084 }
1085 if (errCnt == 0){
1086 return clk[clkCnt];
1087 }
1088 if (errCnt <= bestErr[clkCnt]) bestErr[clkCnt]=errCnt;
1089 if (peakcnt > peaksdet[clkCnt]) peaksdet[clkCnt]=peakcnt;
1090 }
1091 //all tested with errors
1092 //return the highest clk with the most peaks found
1093 uint8_t best=7;
1094 for (i=7; i>=1; i--){
1095 if (peaksdet[i] > peaksdet[best]) {
1096 best = i;
1097 }
a126332a 1098 if (g_debugMode == 2) prnt("DEBUG PSK: Clk: %d, peaks: %d, errs: %d, bestClk: %d",clk[i],peaksdet[i],bestErr[i],clk[best]);
e0165dcf 1099 }
1100 return clk[best];
ba1a299c 1101}
1102
6426f6ba 1103int DetectStrongNRZClk(uint8_t *dest, size_t size, int peak, int low){
1104 //find shortest transition from high to low
1105 size_t i = 0;
1106 size_t transition1 = 0;
1107 int lowestTransition = 255;
1108 bool lastWasHigh = false;
1109
1110 //find first valid beginning of a high or low wave
1111 while ((dest[i] >= peak || dest[i] <= low) && (i < size))
1112 ++i;
1113 while ((dest[i] < peak && dest[i] > low) && (i < size))
1114 ++i;
1115 lastWasHigh = (dest[i] >= peak);
1116
1117 if (i==size) return 0;
1118 transition1 = i;
1119
1120 for (;i < size; i++) {
1121 if ((dest[i] >= peak && !lastWasHigh) || (dest[i] <= low && lastWasHigh)) {
1122 lastWasHigh = (dest[i] >= peak);
1123 if (i-transition1 < lowestTransition) lowestTransition = i-transition1;
1124 transition1 = i;
1125 }
1126 }
6426f6ba 1127 if (lowestTransition == 255) lowestTransition = 0;
a126332a 1128 if (g_debugMode==2) prnt("DEBUG NRZ: detectstrongNRZclk smallest wave: %d",lowestTransition);
6426f6ba 1129 return lowestTransition;
1130}
1131
6de43508 1132//by marshmellow
1133//detect nrz clock by reading #peaks vs no peaks(or errors)
1134int DetectNRZClock(uint8_t dest[], size_t size, int clock)
ba1a299c 1135{
2eec55c8 1136 size_t i=0;
1137 uint8_t clk[]={8,16,32,40,50,64,100,128,255};
1138 size_t loopCnt = 4096; //don't need to loop through entire array...
e0165dcf 1139 if (size == 0) return 0;
6426f6ba 1140 if (size<loopCnt) loopCnt = size-20;
e0165dcf 1141 //if we already have a valid clock quit
1142 for (; i < 8; ++i)
1143 if (clk[i] == clock) return clock;
1144
1145 //get high and low peak
1146 int peak, low;
2eec55c8 1147 if (getHiLo(dest, loopCnt, &peak, &low, 75, 75) < 1) return 0;
e0165dcf 1148
6426f6ba 1149 int lowestTransition = DetectStrongNRZClk(dest, size-20, peak, low);
2eec55c8 1150 size_t ii;
e0165dcf 1151 uint8_t clkCnt;
1152 uint8_t tol = 0;
6426f6ba 1153 uint16_t smplCnt = 0;
1154 int16_t peakcnt = 0;
1155 int16_t peaksdet[] = {0,0,0,0,0,0,0,0};
1156 uint16_t maxPeak = 255;
1157 bool firstpeak = false;
e0165dcf 1158 //test for large clipped waves
1159 for (i=0; i<loopCnt; i++){
1160 if (dest[i] >= peak || dest[i] <= low){
6426f6ba 1161 if (!firstpeak) continue;
1162 smplCnt++;
e0165dcf 1163 } else {
6426f6ba 1164 firstpeak=true;
1165 if (smplCnt > 6 ){
1166 if (maxPeak > smplCnt){
1167 maxPeak = smplCnt;
1168 //prnt("maxPk: %d",maxPeak);
1169 }
1170 peakcnt++;
1171 //prnt("maxPk: %d, smplCnt: %d, peakcnt: %d",maxPeak,smplCnt,peakcnt);
1172 smplCnt=0;
e0165dcf 1173 }
e0165dcf 1174 }
1175 }
6426f6ba 1176 bool errBitHigh = 0;
1177 bool bitHigh = 0;
1178 uint8_t ignoreCnt = 0;
1179 uint8_t ignoreWindow = 4;
1180 bool lastPeakHigh = 0;
1181 int lastBit = 0;
e0165dcf 1182 peakcnt=0;
1183 //test each valid clock from smallest to greatest to see which lines up
1184 for(clkCnt=0; clkCnt < 8; ++clkCnt){
6426f6ba 1185 //ignore clocks smaller than smallest peak
1186 if (clk[clkCnt] < maxPeak - (clk[clkCnt]/4)) continue;
e0165dcf 1187 //try lining up the peaks by moving starting point (try first 256)
6426f6ba 1188 for (ii=20; ii < loopCnt; ++ii){
e0165dcf 1189 if ((dest[ii] >= peak) || (dest[ii] <= low)){
1190 peakcnt=0;
6426f6ba 1191 bitHigh = false;
1192 ignoreCnt = 0;
1193 lastBit = ii-clk[clkCnt];
1194 //loop through to see if this start location works
1195 for (i = ii; i < size-20; ++i) {
1196 //if we are at a clock bit
1197 if ((i >= lastBit + clk[clkCnt] - tol) && (i <= lastBit + clk[clkCnt] + tol)) {
1198 //test high/low
1199 if (dest[i] >= peak || dest[i] <= low) {
1200 //if same peak don't count it
1201 if ((dest[i] >= peak && !lastPeakHigh) || (dest[i] <= low && lastPeakHigh)) {
e0165dcf 1202 peakcnt++;
6426f6ba 1203 }
1204 lastPeakHigh = (dest[i] >= peak);
1205 bitHigh = true;
1206 errBitHigh = false;
1207 ignoreCnt = ignoreWindow;
1208 lastBit += clk[clkCnt];
1209 } else if (i == lastBit + clk[clkCnt] + tol) {
1210 lastBit += clk[clkCnt];
1211 }
1212 //else if not a clock bit and no peaks
1213 } else if (dest[i] < peak && dest[i] > low){
1214 if (ignoreCnt==0){
1215 bitHigh=false;
1216 if (errBitHigh==true) peakcnt--;
1217 errBitHigh=false;
1218 } else {
1219 ignoreCnt--;
1220 }
1221 // else if not a clock bit but we have a peak
1222 } else if ((dest[i]>=peak || dest[i]<=low) && (!bitHigh)) {
1223 //error bar found no clock...
1224 errBitHigh=true;
e0165dcf 1225 }
1226 }
1227 if(peakcnt>peaksdet[clkCnt]) {
1228 peaksdet[clkCnt]=peakcnt;
1229 }
1230 }
1231 }
1232 }
1233 int iii=7;
2eec55c8 1234 uint8_t best=0;
e0165dcf 1235 for (iii=7; iii > 0; iii--){
6426f6ba 1236 if ((peaksdet[iii] >= (peaksdet[best]-1)) && (peaksdet[iii] <= peaksdet[best]+1) && lowestTransition) {
1237 if (clk[iii] > (lowestTransition - (clk[iii]/8)) && clk[iii] < (lowestTransition + (clk[iii]/8))) {
1238 best = iii;
1239 }
1240 } else if (peaksdet[iii] > peaksdet[best]){
e0165dcf 1241 best = iii;
1242 }
a126332a 1243 if (g_debugMode==2) prnt("DEBUG NRZ: Clk: %d, peaks: %d, maxPeak: %d, bestClk: %d, lowestTrs: %d",clk[iii],peaksdet[iii],maxPeak, clk[best], lowestTransition);
e0165dcf 1244 }
6426f6ba 1245
e0165dcf 1246 return clk[best];
ba1a299c 1247}
1248
04d2721b 1249// by marshmellow
1250// convert psk1 demod to psk2 demod
1251// only transition waves are 1s
1252void psk1TOpsk2(uint8_t *BitStream, size_t size)
1253{
1254 size_t i=1;
1255 uint8_t lastBit=BitStream[0];
1256 for (; i<size; i++){
2767fc02 1257 if (BitStream[i]==7){
7a8a982b 1258 //ignore errors
1259 } else if (lastBit!=BitStream[i]){
04d2721b 1260 lastBit=BitStream[i];
1261 BitStream[i]=1;
1262 } else {
1263 BitStream[i]=0;
1264 }
1265 }
1266 return;
1267}
ba1a299c 1268
3bc66a96 1269// by marshmellow
1270// convert psk2 demod to psk1 demod
1271// from only transition waves are 1s to phase shifts change bit
1272void psk2TOpsk1(uint8_t *BitStream, size_t size)
1273{
712ebfa6 1274 uint8_t phase=0;
1275 for (size_t i=0; i<size; i++){
1276 if (BitStream[i]==1){
3bc66a96 1277 phase ^=1;
1278 }
1279 BitStream[i]=phase;
1280 }
1281 return;
1282}
1283
04d2721b 1284// redesigned by marshmellow adjusted from existing decode functions
1285// indala id decoding - only tested on 26 bit tags, but attempted to make it work for more
ba1a299c 1286int indala26decode(uint8_t *bitStream, size_t *size, uint8_t *invert)
1287{
1288 //26 bit 40134 format (don't know other formats)
57c7b44b 1289 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1};
1290 uint8_t preamble_i[] = {1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0};
1291 size_t startidx = 0;
1292 if (!preambleSearch(bitStream, preamble, sizeof(preamble), size, &startidx)){
1293 // if didn't find preamble try again inverting
1294 if (!preambleSearch(bitStream, preamble_i, sizeof(preamble_i), size, &startidx)) return -1;
1295 *invert ^= 1;
1296 }
1297 if (*size != 64 && *size != 224) return -2;
1298 if (*invert==1)
1299 for (size_t i = startidx; i < *size; i++)
1300 bitStream[i] ^= 1;
ba1a299c 1301
57c7b44b 1302 return (int) startidx;
ba1a299c 1303}
1304
05164399 1305// by marshmellow - demodulate NRZ wave - requires a read with strong signal
04d2721b 1306// peaks invert bit (high=1 low=0) each clock cycle = 1 bit determined by last peak
6426f6ba 1307int nrzRawDemod(uint8_t *dest, size_t *size, int *clk, int *invert){
e0165dcf 1308 if (justNoise(dest, *size)) return -1;
1309 *clk = DetectNRZClock(dest, *size, *clk);
1310 if (*clk==0) return -2;
2eec55c8 1311 size_t i, gLen = 4096;
6426f6ba 1312 if (gLen>*size) gLen = *size-20;
e0165dcf 1313 int high, low;
1314 if (getHiLo(dest, gLen, &high, &low, 75, 75) < 1) return -3; //25% fuzz on high 25% fuzz on low
6426f6ba 1315
1316 uint8_t bit=0;
1317 //convert wave samples to 1's and 0's
1318 for(i=20; i < *size-20; i++){
1319 if (dest[i] >= high) bit = 1;
1320 if (dest[i] <= low) bit = 0;
1321 dest[i] = bit;
e0165dcf 1322 }
6426f6ba 1323 //now demod based on clock (rf/32 = 32 1's for one 1 bit, 32 0's for one 0 bit)
1324 size_t lastBit = 0;
1325 size_t numBits = 0;
1326 for(i=21; i < *size-20; i++) {
1327 //if transition detected or large number of same bits - store the passed bits
1328 if (dest[i] != dest[i-1] || (i-lastBit) == (10 * *clk)) {
1329 memset(dest+numBits, dest[i-1] ^ *invert, (i - lastBit + (*clk/4)) / *clk);
1330 numBits += (i - lastBit + (*clk/4)) / *clk;
1331 lastBit = i-1;
e0165dcf 1332 }
e0165dcf 1333 }
6426f6ba 1334 *size = numBits;
1335 return 0;
ba1a299c 1336}
1337
1e090a61 1338//by marshmellow
03e6bb4a 1339//detects the bit clock for FSK given the high and low Field Clocks
1340uint8_t detectFSKClk(uint8_t *BitStream, size_t size, uint8_t fcHigh, uint8_t fcLow)
1e090a61 1341{
e0165dcf 1342 uint8_t clk[] = {8,16,32,40,50,64,100,128,0};
1343 uint16_t rfLens[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1344 uint8_t rfCnts[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1345 uint8_t rfLensFnd = 0;
2eec55c8 1346 uint8_t lastFCcnt = 0;
1347 uint16_t fcCounter = 0;
e0165dcf 1348 uint16_t rfCounter = 0;
1349 uint8_t firstBitFnd = 0;
1350 size_t i;
1351 if (size == 0) return 0;
1352
6426f6ba 1353 uint8_t fcTol = ((fcHigh*100 - fcLow*100)/2 + 50)/100; //(uint8_t)(0.5+(float)(fcHigh-fcLow)/2);
e0165dcf 1354 rfLensFnd=0;
1355 fcCounter=0;
1356 rfCounter=0;
1357 firstBitFnd=0;
a38f5a07 1358 //prnt("DEBUG: fcTol: %d",fcTol);
6426f6ba 1359 // prime i to first peak / up transition
1360 for (i = 160; i < size-20; i++)
e0165dcf 1361 if (BitStream[i] > BitStream[i-1] && BitStream[i]>=BitStream[i+1])
1362 break;
1363
6426f6ba 1364 for (; i < size-20; i++){
2eec55c8 1365 fcCounter++;
1366 rfCounter++;
1367
1368 if (BitStream[i] <= BitStream[i-1] || BitStream[i] < BitStream[i+1])
1369 continue;
1370 // else new peak
1371 // if we got less than the small fc + tolerance then set it to the small fc
1372 if (fcCounter < fcLow+fcTol)
1373 fcCounter = fcLow;
1374 else //set it to the large fc
1375 fcCounter = fcHigh;
1376
1377 //look for bit clock (rf/xx)
1378 if ((fcCounter < lastFCcnt || fcCounter > lastFCcnt)){
1379 //not the same size as the last wave - start of new bit sequence
1380 if (firstBitFnd > 1){ //skip first wave change - probably not a complete bit
1381 for (int ii=0; ii<15; ii++){
6426f6ba 1382 if (rfLens[ii] >= (rfCounter-4) && rfLens[ii] <= (rfCounter+4)){
2eec55c8 1383 rfCnts[ii]++;
1384 rfCounter = 0;
1385 break;
e0165dcf 1386 }
e0165dcf 1387 }
2eec55c8 1388 if (rfCounter > 0 && rfLensFnd < 15){
a38f5a07 1389 //prnt("DEBUG: rfCntr %d, fcCntr %d",rfCounter,fcCounter);
2eec55c8 1390 rfCnts[rfLensFnd]++;
1391 rfLens[rfLensFnd++] = rfCounter;
1392 }
1393 } else {
1394 firstBitFnd++;
e0165dcf 1395 }
2eec55c8 1396 rfCounter=0;
1397 lastFCcnt=fcCounter;
e0165dcf 1398 }
2eec55c8 1399 fcCounter=0;
e0165dcf 1400 }
1401 uint8_t rfHighest=15, rfHighest2=15, rfHighest3=15;
1402
1403 for (i=0; i<15; i++){
e0165dcf 1404 //get highest 2 RF values (might need to get more values to compare or compare all?)
1405 if (rfCnts[i]>rfCnts[rfHighest]){
1406 rfHighest3=rfHighest2;
1407 rfHighest2=rfHighest;
1408 rfHighest=i;
1409 } else if(rfCnts[i]>rfCnts[rfHighest2]){
1410 rfHighest3=rfHighest2;
1411 rfHighest2=i;
1412 } else if(rfCnts[i]>rfCnts[rfHighest3]){
1413 rfHighest3=i;
1414 }
a126332a 1415 if (g_debugMode==2) prnt("DEBUG FSK: RF %d, cnts %d",rfLens[i], rfCnts[i]);
e0165dcf 1416 }
1417 // set allowed clock remainder tolerance to be 1 large field clock length+1
1418 // we could have mistakenly made a 9 a 10 instead of an 8 or visa versa so rfLens could be 1 FC off
1419 uint8_t tol1 = fcHigh+1;
1420
a126332a 1421 if (g_debugMode==2) prnt("DEBUG FSK: most counted rf values: 1 %d, 2 %d, 3 %d",rfLens[rfHighest],rfLens[rfHighest2],rfLens[rfHighest3]);
e0165dcf 1422
1423 // loop to find the highest clock that has a remainder less than the tolerance
1424 // compare samples counted divided by
6426f6ba 1425 // test 128 down to 32 (shouldn't be possible to have fc/10 & fc/8 and rf/16 or less)
e0165dcf 1426 int ii=7;
6426f6ba 1427 for (; ii>=2; ii--){
e0165dcf 1428 if (rfLens[rfHighest] % clk[ii] < tol1 || rfLens[rfHighest] % clk[ii] > clk[ii]-tol1){
1429 if (rfLens[rfHighest2] % clk[ii] < tol1 || rfLens[rfHighest2] % clk[ii] > clk[ii]-tol1){
1430 if (rfLens[rfHighest3] % clk[ii] < tol1 || rfLens[rfHighest3] % clk[ii] > clk[ii]-tol1){
a126332a 1431 if (g_debugMode==2) prnt("DEBUG FSK: clk %d divides into the 3 most rf values within tolerance",clk[ii]);
e0165dcf 1432 break;
1433 }
1434 }
1435 }
1436 }
1437
1438 if (ii<0) return 0; // oops we went too far
1439
1440 return clk[ii];
03e6bb4a 1441}
1e090a61 1442
03e6bb4a 1443//by marshmellow
1444//countFC is to detect the field clock lengths.
1445//counts and returns the 2 most common wave lengths
6de43508 1446//mainly used for FSK field clock detection
2eec55c8 1447uint16_t countFC(uint8_t *BitStream, size_t size, uint8_t fskAdj)
03e6bb4a 1448{
6426f6ba 1449 uint8_t fcLens[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1450 uint16_t fcCnts[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
e0165dcf 1451 uint8_t fcLensFnd = 0;
1452 uint8_t lastFCcnt=0;
2eec55c8 1453 uint8_t fcCounter = 0;
e0165dcf 1454 size_t i;
1455 if (size == 0) return 0;
1456
1457 // prime i to first up transition
6426f6ba 1458 for (i = 160; i < size-20; i++)
e0165dcf 1459 if (BitStream[i] > BitStream[i-1] && BitStream[i] >= BitStream[i+1])
1460 break;
1461
6426f6ba 1462 for (; i < size-20; i++){
e0165dcf 1463 if (BitStream[i] > BitStream[i-1] && BitStream[i] >= BitStream[i+1]){
1464 // new up transition
1465 fcCounter++;
2eec55c8 1466 if (fskAdj){
1467 //if we had 5 and now have 9 then go back to 8 (for when we get a fc 9 instead of an 8)
1468 if (lastFCcnt==5 && fcCounter==9) fcCounter--;
1469 //if fc=9 or 4 add one (for when we get a fc 9 instead of 10 or a 4 instead of a 5)
1470 if ((fcCounter==9) || fcCounter==4) fcCounter++;
e0165dcf 1471 // save last field clock count (fc/xx)
2eec55c8 1472 lastFCcnt = fcCounter;
1473 }
e0165dcf 1474 // find which fcLens to save it to:
6426f6ba 1475 for (int ii=0; ii<15; ii++){
e0165dcf 1476 if (fcLens[ii]==fcCounter){
1477 fcCnts[ii]++;
1478 fcCounter=0;
1479 break;
1480 }
1481 }
6426f6ba 1482 if (fcCounter>0 && fcLensFnd<15){
e0165dcf 1483 //add new fc length
1484 fcCnts[fcLensFnd]++;
1485 fcLens[fcLensFnd++]=fcCounter;
1486 }
1487 fcCounter=0;
1488 } else {
1489 // count sample
1490 fcCounter++;
1491 }
1492 }
1493
6426f6ba 1494 uint8_t best1=14, best2=14, best3=14;
e0165dcf 1495 uint16_t maxCnt1=0;
1496 // go through fclens and find which ones are bigest 2
6426f6ba 1497 for (i=0; i<15; i++){
e0165dcf 1498 // get the 3 best FC values
1499 if (fcCnts[i]>maxCnt1) {
1500 best3=best2;
1501 best2=best1;
1502 maxCnt1=fcCnts[i];
1503 best1=i;
1504 } else if(fcCnts[i]>fcCnts[best2]){
1505 best3=best2;
1506 best2=i;
1507 } else if(fcCnts[i]>fcCnts[best3]){
1508 best3=i;
1509 }
a126332a 1510 if (g_debugMode==2) prnt("DEBUG countfc: FC %u, Cnt %u, best fc: %u, best2 fc: %u",fcLens[i],fcCnts[i],fcLens[best1],fcLens[best2]);
e0165dcf 1511 }
6426f6ba 1512 if (fcLens[best1]==0) return 0;
e0165dcf 1513 uint8_t fcH=0, fcL=0;
1514 if (fcLens[best1]>fcLens[best2]){
1515 fcH=fcLens[best1];
1516 fcL=fcLens[best2];
1517 } else{
1518 fcH=fcLens[best2];
1519 fcL=fcLens[best1];
1520 }
a126332a 1521 if ((size-180)/fcH/3 > fcCnts[best1]+fcCnts[best2]) {
1522 if (g_debugMode==2) prnt("DEBUG countfc: fc is too large: %u > %u. Not psk or fsk",(size-180)/fcH/3,fcCnts[best1]+fcCnts[best2]);
1523 return 0; //lots of waves not psk or fsk
1524 }
e0165dcf 1525 // TODO: take top 3 answers and compare to known Field clocks to get top 2
1526
1527 uint16_t fcs = (((uint16_t)fcH)<<8) | fcL;
2eec55c8 1528 if (fskAdj) return fcs;
1529 return fcLens[best1];
6de43508 1530}
1531
1532//by marshmellow - demodulate PSK1 wave
1533//uses wave lengths (# Samples)
1534int pskRawDemod(uint8_t dest[], size_t *size, int *clock, int *invert)
1535{
e0165dcf 1536 if (size == 0) return -1;
2eec55c8 1537 uint16_t loopCnt = 4096; //don't need to loop through entire array...
e0165dcf 1538 if (*size<loopCnt) loopCnt = *size;
1539
6426f6ba 1540 size_t numBits=0;
e0165dcf 1541 uint8_t curPhase = *invert;
1542 size_t i, waveStart=1, waveEnd=0, firstFullWave=0, lastClkBit=0;
1543 uint8_t fc=0, fullWaveLen=0, tol=1;
1544 uint16_t errCnt=0, waveLenCnt=0;
2eec55c8 1545 fc = countFC(dest, *size, 0);
e0165dcf 1546 if (fc!=2 && fc!=4 && fc!=8) return -1;
a38f5a07 1547 //prnt("DEBUG: FC: %d",fc);
e0165dcf 1548 *clock = DetectPSKClock(dest, *size, *clock);
2eec55c8 1549 if (*clock == 0) return -1;
e0165dcf 1550 int avgWaveVal=0, lastAvgWaveVal=0;
1551 //find first phase shift
1552 for (i=0; i<loopCnt; i++){
1553 if (dest[i]+fc < dest[i+1] && dest[i+1] >= dest[i+2]){
1554 waveEnd = i+1;
a38f5a07 1555 //prnt("DEBUG: waveEnd: %d",waveEnd);
e0165dcf 1556 waveLenCnt = waveEnd-waveStart;
6426f6ba 1557 if (waveLenCnt > fc && waveStart > fc && !(waveLenCnt > fc+2)){ //not first peak and is a large wave but not out of whack
e0165dcf 1558 lastAvgWaveVal = avgWaveVal/(waveLenCnt);
1559 firstFullWave = waveStart;
1560 fullWaveLen=waveLenCnt;
1561 //if average wave value is > graph 0 then it is an up wave or a 1
2eec55c8 1562 if (lastAvgWaveVal > 123) curPhase ^= 1; //fudge graph 0 a little 123 vs 128
e0165dcf 1563 break;
1564 }
1565 waveStart = i+1;
1566 avgWaveVal = 0;
1567 }
2eec55c8 1568 avgWaveVal += dest[i+2];
e0165dcf 1569 }
6426f6ba 1570 if (firstFullWave == 0) {
1571 // no phase shift detected - could be all 1's or 0's - doesn't matter where we start
1572 // so skip a little to ensure we are past any Start Signal
1573 firstFullWave = 160;
1574 memset(dest, curPhase, firstFullWave / *clock);
1575 } else {
1576 memset(dest, curPhase^1, firstFullWave / *clock);
1577 }
1578 //advance bits
1579 numBits += (firstFullWave / *clock);
1580 //set start of wave as clock align
1581 lastClkBit = firstFullWave;
7fa7e812 1582 if (g_debugMode==2) prnt("DEBUG PSK: firstFullWave: %u, waveLen: %u",firstFullWave,fullWaveLen);
1583 if (g_debugMode==2) prnt("DEBUG: clk: %d, lastClkBit: %u, fc: %u", *clock, lastClkBit,(unsigned int) fc);
e0165dcf 1584 waveStart = 0;
e0165dcf 1585 dest[numBits++] = curPhase; //set first read bit
2eec55c8 1586 for (i = firstFullWave + fullWaveLen - 1; i < *size-3; i++){
e0165dcf 1587 //top edge of wave = start of new wave
1588 if (dest[i]+fc < dest[i+1] && dest[i+1] >= dest[i+2]){
1589 if (waveStart == 0) {
1590 waveStart = i+1;
2eec55c8 1591 waveLenCnt = 0;
e0165dcf 1592 avgWaveVal = dest[i+1];
1593 } else { //waveEnd
1594 waveEnd = i+1;
1595 waveLenCnt = waveEnd-waveStart;
1596 lastAvgWaveVal = avgWaveVal/waveLenCnt;
1597 if (waveLenCnt > fc){
a38f5a07 1598 //prnt("DEBUG: avgWaveVal: %d, waveSum: %d",lastAvgWaveVal,avgWaveVal);
2eec55c8 1599 //this wave is a phase shift
a38f5a07 1600 //prnt("DEBUG: phase shift at: %d, len: %d, nextClk: %d, i: %d, fc: %d",waveStart,waveLenCnt,lastClkBit+*clock-tol,i+1,fc);
e0165dcf 1601 if (i+1 >= lastClkBit + *clock - tol){ //should be a clock bit
2eec55c8 1602 curPhase ^= 1;
e0165dcf 1603 dest[numBits++] = curPhase;
1604 lastClkBit += *clock;
2eec55c8 1605 } else if (i < lastClkBit+10+fc){
e0165dcf 1606 //noise after a phase shift - ignore
1607 } else { //phase shift before supposed to based on clock
1608 errCnt++;
2767fc02 1609 dest[numBits++] = 7;
e0165dcf 1610 }
1611 } else if (i+1 > lastClkBit + *clock + tol + fc){
1612 lastClkBit += *clock; //no phase shift but clock bit
1613 dest[numBits++] = curPhase;
1614 }
2eec55c8 1615 avgWaveVal = 0;
1616 waveStart = i+1;
e0165dcf 1617 }
1618 }
2eec55c8 1619 avgWaveVal += dest[i+1];
e0165dcf 1620 }
1621 *size = numBits;
1622 return errCnt;
6de43508 1623}
05164399 1624
1625//by marshmellow
1626//attempt to identify a Sequence Terminator in ASK modulated raw wave
1627bool DetectST(uint8_t buffer[], size_t *size, int *foundclock) {
1628 size_t bufsize = *size;
1629 //need to loop through all samples and identify our clock, look for the ST pattern
1630 uint8_t fndClk[] = {8,16,32,40,50,64,128};
1631 int clk = 0;
1632 int tol = 0;
1633 int i, j, skip, start, end, low, high, minClk, waveStart;
1634 bool complete = false;
a38f5a07 1635 int tmpbuff[bufsize / 32]; //guess rf/32 clock, if click is smaller we will only have room for a fraction of the samples captured
1636 int waveLen[bufsize / 32]; // if clock is larger then we waste memory in array size that is not needed...
05164399 1637 size_t testsize = (bufsize < 512) ? bufsize : 512;
1638 int phaseoff = 0;
1639 high = low = 128;
1640 memset(tmpbuff, 0, sizeof(tmpbuff));
a38f5a07 1641 memset(waveLen, 0, sizeof(waveLen));
05164399 1642
a38f5a07 1643
05164399 1644 if ( getHiLo(buffer, testsize, &high, &low, 80, 80) == -1 ) {
1645 if (g_debugMode==2) prnt("DEBUG STT: just noise detected - quitting");
1646 return false; //just noise
1647 }
1648 i = 0;
1649 j = 0;
1650 minClk = 255;
1651 // get to first full low to prime loop and skip incomplete first pulse
1652 while ((buffer[i] < high) && (i < bufsize))
1653 ++i;
1654 while ((buffer[i] > low) && (i < bufsize))
1655 ++i;
1656 skip = i;
1657
1658 // populate tmpbuff buffer with pulse lengths
1659 while (i < bufsize) {
1660 // measure from low to low
1661 while ((buffer[i] > low) && (i < bufsize))
1662 ++i;
1663 start= i;
1664 while ((buffer[i] < high) && (i < bufsize))
1665 ++i;
1666 //first high point for this wave
1667 waveStart = i;
1668 while ((buffer[i] > low) && (i < bufsize))
1669 ++i;
a38f5a07 1670 if (j >= (bufsize/32)) {
05164399 1671 break;
1672 }
1673 waveLen[j] = i - waveStart; //first high to first low
1674 tmpbuff[j++] = i - start;
1675 if (i-start < minClk && i < bufsize) {
1676 minClk = i - start;
1677 }
1678 }
1679 // set clock - might be able to get this externally and remove this work...
1680 if (!clk) {
1681 for (uint8_t clkCnt = 0; clkCnt<7; clkCnt++) {
1682 tol = fndClk[clkCnt]/8;
1683 if (minClk >= fndClk[clkCnt]-tol && minClk <= fndClk[clkCnt]+1) {
1684 clk=fndClk[clkCnt];
1685 break;
1686 }
1687 }
1688 // clock not found - ERROR
1689 if (!clk) {
1690 if (g_debugMode==2) prnt("DEBUG STT: clock not found - quitting");
1691 return false;
1692 }
1693 } else tol = clk/8;
1694
1695 *foundclock = clk;
1696
1697 // look for Sequence Terminator - should be pulses of clk*(1 or 1.5), clk*2, clk*(1.5 or 2)
1698 start = -1;
1699 for (i = 0; i < j - 4; ++i) {
1700 skip += tmpbuff[i];
1701 if (tmpbuff[i] >= clk*1-tol && tmpbuff[i] <= (clk*2)+tol && waveLen[i] < clk+tol) { //1 to 2 clocks depending on 2 bits prior
1702 if (tmpbuff[i+1] >= clk*2-tol && tmpbuff[i+1] <= clk*2+tol && waveLen[i+1] > clk*3/2-tol) { //2 clocks and wave size is 1 1/2
1703 if (tmpbuff[i+2] >= (clk*3)/2-tol && tmpbuff[i+2] <= clk*2+tol && waveLen[i+2] > clk-tol) { //1 1/2 to 2 clocks and at least one full clock wave
1704 if (tmpbuff[i+3] >= clk*1-tol && tmpbuff[i+3] <= clk*2+tol) { //1 to 2 clocks for end of ST + first bit
1705 start = i + 3;
1706 break;
1707 }
1708 }
1709 }
1710 }
1711 }
1712 // first ST not found - ERROR
1713 if (start < 0) {
1714 if (g_debugMode==2) prnt("DEBUG STT: first STT not found - quitting");
1715 return false;
a38f5a07 1716 } else {
1717 if (g_debugMode==2) prnt("DEBUG STT: first STT found at: %d, j=%d",start, j);
05164399 1718 }
1719 if (waveLen[i+2] > clk*1+tol)
1720 phaseoff = 0;
1721 else
1722 phaseoff = clk/2;
1723
1724 // skip over the remainder of ST
1725 skip += clk*7/2; //3.5 clocks from tmpbuff[i] = end of st - also aligns for ending point
1726
1727 // now do it again to find the end
1728 end = skip;
1729 for (i += 3; i < j - 4; ++i) {
1730 end += tmpbuff[i];
a38f5a07 1731 if (tmpbuff[i] >= clk*1-tol && tmpbuff[i] <= (clk*2)+tol && waveLen[i] < clk+tol) { //1 to 2 clocks depending on 2 bits prior
05164399 1732 if (tmpbuff[i+1] >= clk*2-tol && tmpbuff[i+1] <= clk*2+tol && waveLen[i+1] > clk*3/2-tol) { //2 clocks and wave size is 1 1/2
1733 if (tmpbuff[i+2] >= (clk*3)/2-tol && tmpbuff[i+2] <= clk*2+tol && waveLen[i+2] > clk-tol) { //1 1/2 to 2 clocks and at least one full clock wave
1734 if (tmpbuff[i+3] >= clk*1-tol && tmpbuff[i+3] <= clk*2+tol) { //1 to 2 clocks for end of ST + first bit
1735 complete = true;
1736 break;
1737 }
1738 }
1739 }
1740 }
1741 }
1742 end -= phaseoff;
1743 //didn't find second ST - ERROR
1744 if (!complete) {
1745 if (g_debugMode==2) prnt("DEBUG STT: second STT not found - quitting");
1746 return false;
1747 }
1748 if (g_debugMode==2) prnt("DEBUG STT: start of data: %d end of data: %d, datalen: %d, clk: %d, bits: %d, phaseoff: %d", skip, end, end-skip, clk, (end-skip)/clk, phaseoff);
1749 //now begin to trim out ST so we can use normal demod cmds
1750 start = skip;
1751 size_t datalen = end - start;
1752 // check validity of datalen (should be even clock increments) - use a tolerance of up to 1/8th a clock
a38f5a07 1753 if ( clk - (datalen % clk) <= clk/8) {
1754 // padd the amount off - could be problematic... but shouldn't happen often
1755 datalen += clk - (datalen % clk);
1756 } else if ( (datalen % clk) <= clk/8 ) {
1757 // padd the amount off - could be problematic... but shouldn't happen often
1758 datalen -= datalen % clk;
1759 } else {
05164399 1760 if (g_debugMode==2) prnt("DEBUG STT: datalen not divisible by clk: %u %% %d = %d - quitting", datalen, clk, datalen % clk);
1761 return false;
05164399 1762 }
1763 // if datalen is less than one t55xx block - ERROR
1764 if (datalen/clk < 8*4) {
1765 if (g_debugMode==2) prnt("DEBUG STT: datalen is less than 1 full t55xx block - quitting");
1766 return false;
1767 }
1768 size_t dataloc = start;
a38f5a07 1769 if (buffer[dataloc-(clk*4)-(clk/8)] <= low && buffer[dataloc] <= low && buffer[dataloc-(clk*4)] >= high) {
1770 //we have low drift (and a low just before the ST and a low just after the ST) - compensate by backing up the start
1771 for ( i=0; i <= (clk/8); ++i ) {
1772 if ( buffer[dataloc - (clk*4) - i] <= low ) {
1773 dataloc -= i;
1774 break;
1775 }
1776 }
1777 }
1778
05164399 1779 size_t newloc = 0;
1780 i=0;
a38f5a07 1781 if (g_debugMode==2) prnt("DEBUG STT: Starting STT trim - start: %d, datalen: %d ",dataloc, datalen);
1782
05164399 1783 // warning - overwriting buffer given with raw wave data with ST removed...
1784 while ( dataloc < bufsize-(clk/2) ) {
7fa7e812 1785 //compensate for long high at end of ST not being high due to signal loss... (and we cut out the start of wave high part)
05164399 1786 if (buffer[dataloc]<high && buffer[dataloc]>low && buffer[dataloc+3]<high && buffer[dataloc+3]>low) {
1787 for(i=0; i < clk/2-tol; ++i) {
1788 buffer[dataloc+i] = high+5;
1789 }
1790 }
1791 for (i=0; i<datalen; ++i) {
1792 if (i+newloc < bufsize) {
1793 if (i+newloc < dataloc)
1794 buffer[i+newloc] = buffer[dataloc];
1795
1796 dataloc++;
1797 }
1798 }
1799 newloc += i;
7fa7e812 1800 //skip next ST - we just assume it will be there from now on...
a38f5a07 1801 if (g_debugMode==2) prnt("DEBUG STT: skipping STT at %d to %d", dataloc, dataloc+(clk*4));
05164399 1802 dataloc += clk*4;
1803 }
1804 *size = newloc;
1805 return true;
1806}
Impressum, Datenschutz