]> git.zerfleddert.de Git - raggedstone/blame - dhwk/source/pci/address_register.vhd
move address register
[raggedstone] / dhwk / source / pci / address_register.vhd
CommitLineData
d452afd5 1-- J.STELZNER\r
2-- INFORMATIK-3 LABOR\r
3-- 23.08.2006\r
4-- File: ADDR_REG.VHD\r
5\r
6library IEEE;\r
7use IEEE.std_logic_1164.all;\r
8\r
9entity ADDRESS_REGISTER is\r
10 port (\r
11 PCI_CLOCK :in std_logic;\r
12 PCI_RSTn :in std_logic;\r
13 LOAD_ADDR_REG :in std_logic;\r
14 AD_REG :in std_logic_vector (31 downto 0);\r
15 ADDR_REG :out std_logic_vector (31 downto 0)\r
16 );\r
17end entity ADDRESS_REGISTER;\r
18\r
19architecture ADDR_REGI_DESIGN of ADDRESS_REGISTER is\r
20 signal REG_ADDR :std_logic_vector (31 downto 0); \r
21begin \r
22\r
23 process (PCI_CLOCK, PCI_RSTn) \r
24 begin\r
25 if PCI_RSTn = '0' then\r
26 REG_ADDR <= X"00000000";\r
27\r
28 elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then\r
29 if LOAD_ADDR_REG = '1' then\r
30 REG_ADDR <= AD_REG;\r
31 else\r
32 REG_ADDR <= REG_ADDR;\r
33 end if;\r
34 end if;\r
35 end process;\r
36\r
37 ADDR_REG <= REG_ADDR;\r
38\r
39end architecture ADDR_REGI_DESIGN;\r
Impressum, Datenschutz