]> git.zerfleddert.de Git - raggedstone/blobdiff - heartbeat/source/top_raggedstone.vhd
typos
[raggedstone] / heartbeat / source / top_raggedstone.vhd
index 73fefb15d8298f6eaf34a6a9804a2b87ba067da8..b0739895d536833d7a1a5db286d478bb755cade8 100644 (file)
@@ -38,7 +38,7 @@ use ieee.std_logic_unsigned.all;
 --|                                                                    ENTITY                                                                          |\r
 --+-----------------------------------------------------------------------------+\r
 \r
-entity pci_7seg is\r
+entity raggedstone is\r
 port (\r
 \r
     -- General \r
@@ -60,19 +60,20 @@ port (
     PCI_nINT    : out std_logic;\r
  \r
        -- debug signals\r
-       LED_INIT         : out std_logic;\r
-       LED_ACCESS       : out std_logic;\r
-       LED_ALIVE : out std_logic\r
+       LED3    : out std_logic;\r
+       LED2    : out std_logic;\r
+       LED4            : out std_logic;\r
+       LED5            : out std_logic\r
 \r
 );\r
-end pci_7seg;\r
+end raggedstone;\r
 \r
 \r
 --+-----------------------------------------------------------------------------+\r
 --|                                                                    ARCHITECTURE                                                            |\r
 --+-----------------------------------------------------------------------------+\r
 \r
-architecture pci_7seg_arch of pci_7seg is\r
+architecture raggedstone_arch of raggedstone is\r
 \r
 \r
 --+-----------------------------------------------------------------------------+\r
@@ -123,7 +124,10 @@ component heartbeat
 port (\r
        clk_i : in std_logic;\r
        nrst_i : in std_logic;\r
-       led_o : out std_logic\r
+       led2_o : out std_logic;\r
+       led3_o : out std_logic;\r
+       led4_o : out std_logic;\r
+       led5_o : out std_logic\r
 );\r
 end component;\r
 \r
@@ -178,9 +182,9 @@ port map(
                wb_cyc_o =>             wb_cyc,\r
                wb_ack_i =>             wb_ack,\r
                wb_err_i =>             wb_err,\r
-               wb_int_i =>             wb_int,\r
-               debug_init =>   LED_INIT,\r
-               debug_access => LED_ACCESS\r
+               wb_int_i =>             wb_int\r
+--             debug_init =>   LED3,\r
+--             debug_access => LED2\r
                );\r
 \r
 --+-----------------------------------------+\r
@@ -191,7 +195,10 @@ my_heartbeat: component heartbeat
 port map( \r
        clk_i => PCI_CLK,\r
        nrst_i => PCI_nRES,\r
-       led_o => LED_ALIVE\r
+       led2_o => LED2,\r
+       led3_o => LED3,\r
+       led4_o => LED4,\r
+       led5_o => LED5\r
 );\r
 \r
-end pci_7seg_arch;\r
+end raggedstone_arch;\r
Impressum, Datenschutz