projects
/
raggedstone
/ commitdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
| commitdiff |
tree
raw
|
patch
| inline |
side by side
(parent:
b125f15
)
typos
author
sithglan
<sithglan>
Sun, 11 Feb 2007 22:37:27 +0000
(22:37 +0000)
committer
sithglan
<sithglan>
Sun, 11 Feb 2007 22:37:27 +0000
(22:37 +0000)
dhwk_old/source/top_dhwk.vhd
patch
|
blob
|
blame
|
history
diff --git
a/dhwk_old/source/top_dhwk.vhd
b/dhwk_old/source/top_dhwk.vhd
index 97294bec7b45f28e03595b4d0a70e073d84824f1..5345dce01936381b9a455d37cfb4def0e0f6b13b 100644
(file)
--- a/
dhwk_old/source/top_dhwk.vhd
+++ b/
dhwk_old/source/top_dhwk.vhd
@@
-126,10
+126,10
@@
port (
wb_int_o : out std_logic;
\r
\r
fifo_data_i : in std_logic_vector(7 downto 0);
\r
- fifo_data_o : out std_logic_vector(7 downto 0)
\r
+ fifo_data_o : out std_logic_vector(7 downto 0)
;
\r
\r
fifo_we_out : out std_logic;
\r
- fifo_re_out : out std_logic
;
\r
+ fifo_re_out : out std_logic
\r
);
\r
end component;
\r
\r
Impressum
,
Datenschutz