-- General \r
PCI_CLK : in std_logic;\r
PCI_nRES : in std_logic;\r
+ PCI_nREQ : out std_logic;\r
\r
-- PCI target 32bits\r
PCI_AD : inout std_logic_vector(31 downto 0);\r
\r
begin\r
\r
+ PCI_nREQ <= '1';\r
+\r
--+-----------------------------------------+\r
--| PCI Target |\r
--+-----------------------------------------+\r