]> git.zerfleddert.de Git - raggedstone/blobdiff - dhwk/source/config_00h.vhd
first import of dhwk.
[raggedstone] / dhwk / source / config_00h.vhd
diff --git a/dhwk/source/config_00h.vhd b/dhwk/source/config_00h.vhd
new file mode 100644 (file)
index 0000000..0346aeb
--- /dev/null
@@ -0,0 +1,28 @@
+-- J.STELZNER\r
+-- INFORMATIK-3 LABOR\r
+-- 23.08.2006\r
+-- File: CONFIG_00H.VHD\r
+\r
+library IEEE;\r
+use IEEE.std_logic_1164.all;\r
+\r
+entity CONFIG_00H is\r
+       port\r
+       (\r
+       VENDOR_ID                       :in             std_logic_vector (15 downto 0);\r
+       CONF_DATA_00H   :out    std_logic_vector (31 downto 0)\r
+       );\r
+end entity CONFIG_00H;\r
+\r
+architecture CONFIG_00H_DESIGN of CONFIG_00H is\r
+\r
+-- PCI Configuration Space Header Addr : HEX 00 --\r
+\r
+       constant        CONF_DEVICE_ID          :std_logic_vector(31 downto 16) := X"AFFE";--???? \r
+--constant     CONF_VENDOR_ID          :std_logic_vector(15 downto  0) := X"BAFF";--???? \r
+\r
+begin\r
+\r
+       CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID;\r
+\r
+end architecture CONFIG_00H_DESIGN;\r
Impressum, Datenschutz