port ( CLKIN_IN : in std_logic;
RST_IN : in std_logic;
CLKFX_OUT : out std_logic;
- CLKIN_IBUFG_OUT : out std_logic;
CLK0_OUT : out std_logic;
LOCKED_OUT : out std_logic);
end component;
port map (
CLKIN_IN => PCI_CLOCK,
RST_IN => not PCI_RSTn,
- CLKFX_OUT => PHY_CLOCK
--- CLKIN_IBUFG_OUT
--- CLK0_OUT
--- LOCKED_OUT
+ CLKFX_OUT => PHY_CLOCK,
+ CLK0_OUT => open,
+ LOCKED_OUT => open
);
end architecture ethernet_arch;