]> git.zerfleddert.de Git - raggedstone/blobdiff - dhwk/source/pci/fifo_io_control.vhd
perl -p -i -e "s/PCI_CLOCK'event and PCI_CLOCK = '1'/rising_edge(PCI_CLOCK)/" *.vhd
[raggedstone] / dhwk / source / pci / fifo_io_control.vhd
index 43f3a43bc7e5f7e12da7010add5ce226a516c2ba..be853950ed3bf3940ade573b0aae29fae09dffcb 100644 (file)
@@ -1,4 +1,4 @@
--- $Id: fifo_io_control.vhd,v 1.2 2007-03-11 09:14:58 sithglan Exp $
+-- $Id: fifo_io_control.vhd,v 1.4 2007-03-11 13:23:11 sithglan Exp $
 
 library IEEE;
 use IEEE.std_logic_1164.all;
Impressum, Datenschutz