]> git.zerfleddert.de Git - raggedstone/commitdiff
chipscope
authormichael <michael>
Sat, 10 Mar 2007 19:59:18 +0000 (19:59 +0000)
committermichael <michael>
Sat, 10 Mar 2007 19:59:18 +0000 (19:59 +0000)
dhwk/dhwk.cpj
dhwk/dhwk.tok [new file with mode: 0644]

index 60415cbcc7735fa756fd22d34411dde63758316c..9b11c9eb3246a8e144094c6887509238fceb852a 100644 (file)
@@ -1,5 +1,5 @@
 #ChipScope Pro Analyzer Project File, Version 3.0
 #ChipScope Pro Analyzer Project File, Version 3.0
-#Sat Mar 10 17:44:34 GMT+01:00 2007
+#Sat Mar 10 20:16:30 GMT+01:00 2007
 deviceChain.deviceName0=XCF02S
 deviceChain.deviceName1=XCF04S
 deviceChain.deviceName2=XC3S1500
 deviceChain.deviceName0=XCF02S
 deviceChain.deviceName1=XCF04S
 deviceChain.deviceName2=XC3S1500
@@ -35,8 +35,8 @@ unit.2.0.1.HEIGHT1=0.6956522
 unit.2.0.1.WIDTH1=1.0
 unit.2.0.1.X1=-0.0010683761
 unit.2.0.1.Y1=0.3043478
 unit.2.0.1.WIDTH1=1.0
 unit.2.0.1.X1=-0.0010683761
 unit.2.0.1.Y1=0.3043478
-unit.2.0.MFBitsA0=X0XXXXXX
-unit.2.0.MFBitsB0=00000000
+unit.2.0.MFBitsA0=0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
+unit.2.0.MFBitsB0=00000000000000000000000000000000
 unit.2.0.MFCompareA0=0
 unit.2.0.MFCompareB0=999
 unit.2.0.MFCount=1
 unit.2.0.MFCompareA0=0
 unit.2.0.MFCompareB0=999
 unit.2.0.MFCount=1
@@ -57,28 +57,54 @@ unit.2.0.TCName0=TriggerCondition0
 unit.2.0.TCOutputEnable0=0
 unit.2.0.TCOutputHigh0=1
 unit.2.0.TCOutputMode0=0
 unit.2.0.TCOutputEnable0=0
 unit.2.0.TCOutputHigh0=1
 unit.2.0.TCOutputMode0=0
-unit.2.0.browser_tree_state<Data\ Port>=0
+unit.2.0.browser_tree_state<Data\ Port>=1
+unit.2.0.browser_tree_state<PCI>=0
+unit.2.0.browser_tree_state<R_FIFO>=0
 unit.2.0.browser_tree_state<S_FIFO>=0
 unit.2.0.browser_tree_state<S_FIFO>=0
-unit.2.0.browser_tree_state<Trigger\ Ports>=0
-unit.2.0.browser_tree_state<TriggerPort0>=0
+unit.2.0.browser_tree_state<Trigger\ Ports>=1
+unit.2.0.browser_tree_state<TriggerPort0>=1
 unit.2.0.coretype=ILA
 unit.2.0.eventCount0=1
 unit.2.0.coretype=ILA
 unit.2.0.eventCount0=1
-unit.2.0.port.-1.b.0.alias=R_FIFO
-unit.2.0.port.-1.b.0.channellist=27 28 29 30 31 32 33 34
+unit.2.0.port.-1.b.0.alias=CBEn
+unit.2.0.port.-1.b.0.channellist=67 68 69 70
 unit.2.0.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.b.0.name=DataPort
 unit.2.0.port.-1.b.0.orderindex=-1
 unit.2.0.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.b.0.name=DataPort
 unit.2.0.port.-1.b.0.orderindex=-1
-unit.2.0.port.-1.b.0.radix=Hex
+unit.2.0.port.-1.b.0.radix=Token
 unit.2.0.port.-1.b.0.signedOffset=0.0
 unit.2.0.port.-1.b.0.signedPrecision=0
 unit.2.0.port.-1.b.0.signedScaleFactor=1.0
 unit.2.0.port.-1.b.0.signedOffset=0.0
 unit.2.0.port.-1.b.0.signedPrecision=0
 unit.2.0.port.-1.b.0.signedScaleFactor=1.0
-unit.2.0.port.-1.b.0.tokencount=0
+unit.2.0.port.-1.b.0.t.0.token=CFG_Write
+unit.2.0.port.-1.b.0.t.0.value=1011\\b
+unit.2.0.port.-1.b.0.t.1.token=CFG_Read
+unit.2.0.port.-1.b.0.t.1.value=1010\\b
+unit.2.0.port.-1.b.0.t.10.token=MEM_WriteAndInv
+unit.2.0.port.-1.b.0.t.10.value=1111\\b
+unit.2.0.port.-1.b.0.t.11.token=MEM_ReadLine
+unit.2.0.port.-1.b.0.t.11.value=1110\\b
+unit.2.0.port.-1.b.0.t.2.token=MEM_Write
+unit.2.0.port.-1.b.0.t.2.value=111\\b
+unit.2.0.port.-1.b.0.t.3.token=MEM_Read
+unit.2.0.port.-1.b.0.t.3.value=110\\b
+unit.2.0.port.-1.b.0.t.4.token=Special_Cycle
+unit.2.0.port.-1.b.0.t.4.value=1\\b
+unit.2.0.port.-1.b.0.t.5.token=INT_ACK
+unit.2.0.port.-1.b.0.t.5.value=\\b
+unit.2.0.port.-1.b.0.t.6.token=IO_Write
+unit.2.0.port.-1.b.0.t.6.value=11\\b
+unit.2.0.port.-1.b.0.t.7.token=IO_Read
+unit.2.0.port.-1.b.0.t.7.value=10\\b
+unit.2.0.port.-1.b.0.t.8.token=DAC
+unit.2.0.port.-1.b.0.t.8.value=1101\\b
+unit.2.0.port.-1.b.0.t.9.token=MEM_ReadMult
+unit.2.0.port.-1.b.0.t.9.value=1100\\b
+unit.2.0.port.-1.b.0.tokencount=12
 unit.2.0.port.-1.b.0.unsignedOffset=0.0
 unit.2.0.port.-1.b.0.unsignedPrecision=0
 unit.2.0.port.-1.b.0.unsignedScaleFactor=1.0
 unit.2.0.port.-1.b.0.visible=1
 unit.2.0.port.-1.b.0.unsignedOffset=0.0
 unit.2.0.port.-1.b.0.unsignedPrecision=0
 unit.2.0.port.-1.b.0.unsignedScaleFactor=1.0
 unit.2.0.port.-1.b.0.visible=1
-unit.2.0.port.-1.b.1.alias=S_FIFO
-unit.2.0.port.-1.b.1.channellist=19 20 21 22 23 24 25 26
+unit.2.0.port.-1.b.1.alias=CBE_ADDR
+unit.2.0.port.-1.b.1.channellist=35 36 37 38 39 40 41 42
 unit.2.0.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.b.1.name=DataPort
 unit.2.0.port.-1.b.1.orderindex=-1
 unit.2.0.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.b.1.name=DataPort
 unit.2.0.port.-1.b.1.orderindex=-1
@@ -91,8 +117,50 @@ unit.2.0.port.-1.b.1.unsignedOffset=0.0
 unit.2.0.port.-1.b.1.unsignedPrecision=0
 unit.2.0.port.-1.b.1.unsignedScaleFactor=1.0
 unit.2.0.port.-1.b.1.visible=1
 unit.2.0.port.-1.b.1.unsignedPrecision=0
 unit.2.0.port.-1.b.1.unsignedScaleFactor=1.0
 unit.2.0.port.-1.b.1.visible=1
-unit.2.0.port.-1.buscount=2
-unit.2.0.port.-1.channelcount=36
+unit.2.0.port.-1.b.2.alias=PCI
+unit.2.0.port.-1.b.2.channellist=35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66
+unit.2.0.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.b.2.name=DataPort
+unit.2.0.port.-1.b.2.orderindex=-1
+unit.2.0.port.-1.b.2.radix=Hex
+unit.2.0.port.-1.b.2.signedOffset=0.0
+unit.2.0.port.-1.b.2.signedPrecision=0
+unit.2.0.port.-1.b.2.signedScaleFactor=1.0
+unit.2.0.port.-1.b.2.tokencount=0
+unit.2.0.port.-1.b.2.unsignedOffset=0.0
+unit.2.0.port.-1.b.2.unsignedPrecision=0
+unit.2.0.port.-1.b.2.unsignedScaleFactor=1.0
+unit.2.0.port.-1.b.2.visible=1
+unit.2.0.port.-1.b.3.alias=R_FIFO
+unit.2.0.port.-1.b.3.channellist=27 28 29 30 31 32 33 34
+unit.2.0.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.b.3.name=DataPort
+unit.2.0.port.-1.b.3.orderindex=-1
+unit.2.0.port.-1.b.3.radix=Hex
+unit.2.0.port.-1.b.3.signedOffset=0.0
+unit.2.0.port.-1.b.3.signedPrecision=0
+unit.2.0.port.-1.b.3.signedScaleFactor=1.0
+unit.2.0.port.-1.b.3.tokencount=0
+unit.2.0.port.-1.b.3.unsignedOffset=0.0
+unit.2.0.port.-1.b.3.unsignedPrecision=0
+unit.2.0.port.-1.b.3.unsignedScaleFactor=1.0
+unit.2.0.port.-1.b.3.visible=1
+unit.2.0.port.-1.b.4.alias=S_FIFO
+unit.2.0.port.-1.b.4.channellist=19 20 21 22 23 24 25 26
+unit.2.0.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.b.4.name=DataPort
+unit.2.0.port.-1.b.4.orderindex=-1
+unit.2.0.port.-1.b.4.radix=Hex
+unit.2.0.port.-1.b.4.signedOffset=0.0
+unit.2.0.port.-1.b.4.signedPrecision=0
+unit.2.0.port.-1.b.4.signedScaleFactor=1.0
+unit.2.0.port.-1.b.4.tokencount=0
+unit.2.0.port.-1.b.4.unsignedOffset=0.0
+unit.2.0.port.-1.b.4.unsignedPrecision=0
+unit.2.0.port.-1.b.4.unsignedScaleFactor=1.0
+unit.2.0.port.-1.b.4.visible=1
+unit.2.0.port.-1.buscount=5
+unit.2.0.port.-1.channelcount=96
 unit.2.0.port.-1.s.0.alias=INTAn
 unit.2.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.0.name=DataPort[0]
 unit.2.0.port.-1.s.0.alias=INTAn
 unit.2.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.0.name=DataPort[0]
@@ -243,38 +311,338 @@ unit.2.0.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.35.name=DataPort[35]
 unit.2.0.port.-1.s.35.orderindex=-1
 unit.2.0.port.-1.s.35.visible=1
 unit.2.0.port.-1.s.35.name=DataPort[35]
 unit.2.0.port.-1.s.35.orderindex=-1
 unit.2.0.port.-1.s.35.visible=1
+unit.2.0.port.-1.s.36.alias=
+unit.2.0.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.36.name=DataPort[36]
+unit.2.0.port.-1.s.36.orderindex=-1
+unit.2.0.port.-1.s.36.visible=1
+unit.2.0.port.-1.s.37.alias=
+unit.2.0.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.37.name=DataPort[37]
+unit.2.0.port.-1.s.37.orderindex=-1
+unit.2.0.port.-1.s.37.visible=1
+unit.2.0.port.-1.s.38.alias=
+unit.2.0.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.38.name=DataPort[38]
+unit.2.0.port.-1.s.38.orderindex=-1
+unit.2.0.port.-1.s.38.visible=1
+unit.2.0.port.-1.s.39.alias=
+unit.2.0.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.39.name=DataPort[39]
+unit.2.0.port.-1.s.39.orderindex=-1
+unit.2.0.port.-1.s.39.visible=1
 unit.2.0.port.-1.s.4.alias=R_READ
 unit.2.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.4.name=DataPort[4]
 unit.2.0.port.-1.s.4.orderindex=-1
 unit.2.0.port.-1.s.4.visible=1
 unit.2.0.port.-1.s.4.alias=R_READ
 unit.2.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.4.name=DataPort[4]
 unit.2.0.port.-1.s.4.orderindex=-1
 unit.2.0.port.-1.s.4.visible=1
+unit.2.0.port.-1.s.40.alias=
+unit.2.0.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.40.name=DataPort[40]
+unit.2.0.port.-1.s.40.orderindex=-1
+unit.2.0.port.-1.s.40.visible=1
+unit.2.0.port.-1.s.41.alias=
+unit.2.0.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.41.name=DataPort[41]
+unit.2.0.port.-1.s.41.orderindex=-1
+unit.2.0.port.-1.s.41.visible=1
+unit.2.0.port.-1.s.42.alias=
+unit.2.0.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.42.name=DataPort[42]
+unit.2.0.port.-1.s.42.orderindex=-1
+unit.2.0.port.-1.s.42.visible=1
+unit.2.0.port.-1.s.43.alias=
+unit.2.0.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.43.name=DataPort[43]
+unit.2.0.port.-1.s.43.orderindex=-1
+unit.2.0.port.-1.s.43.visible=1
+unit.2.0.port.-1.s.44.alias=
+unit.2.0.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.44.name=DataPort[44]
+unit.2.0.port.-1.s.44.orderindex=-1
+unit.2.0.port.-1.s.44.visible=1
+unit.2.0.port.-1.s.45.alias=
+unit.2.0.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.45.name=DataPort[45]
+unit.2.0.port.-1.s.45.orderindex=-1
+unit.2.0.port.-1.s.45.visible=1
+unit.2.0.port.-1.s.46.alias=
+unit.2.0.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.46.name=DataPort[46]
+unit.2.0.port.-1.s.46.orderindex=-1
+unit.2.0.port.-1.s.46.visible=1
+unit.2.0.port.-1.s.47.alias=
+unit.2.0.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.47.name=DataPort[47]
+unit.2.0.port.-1.s.47.orderindex=-1
+unit.2.0.port.-1.s.47.visible=1
+unit.2.0.port.-1.s.48.alias=
+unit.2.0.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.48.name=DataPort[48]
+unit.2.0.port.-1.s.48.orderindex=-1
+unit.2.0.port.-1.s.48.visible=1
+unit.2.0.port.-1.s.49.alias=
+unit.2.0.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.49.name=DataPort[49]
+unit.2.0.port.-1.s.49.orderindex=-1
+unit.2.0.port.-1.s.49.visible=1
 unit.2.0.port.-1.s.5.alias=R_RESET
 unit.2.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.5.name=DataPort[5]
 unit.2.0.port.-1.s.5.orderindex=-1
 unit.2.0.port.-1.s.5.visible=1
 unit.2.0.port.-1.s.5.alias=R_RESET
 unit.2.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.5.name=DataPort[5]
 unit.2.0.port.-1.s.5.orderindex=-1
 unit.2.0.port.-1.s.5.visible=1
+unit.2.0.port.-1.s.50.alias=
+unit.2.0.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.50.name=DataPort[50]
+unit.2.0.port.-1.s.50.orderindex=-1
+unit.2.0.port.-1.s.50.visible=1
+unit.2.0.port.-1.s.51.alias=
+unit.2.0.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.51.name=DataPort[51]
+unit.2.0.port.-1.s.51.orderindex=-1
+unit.2.0.port.-1.s.51.visible=1
+unit.2.0.port.-1.s.52.alias=
+unit.2.0.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.52.name=DataPort[52]
+unit.2.0.port.-1.s.52.orderindex=-1
+unit.2.0.port.-1.s.52.visible=1
+unit.2.0.port.-1.s.53.alias=
+unit.2.0.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.53.name=DataPort[53]
+unit.2.0.port.-1.s.53.orderindex=-1
+unit.2.0.port.-1.s.53.visible=1
+unit.2.0.port.-1.s.54.alias=
+unit.2.0.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.54.name=DataPort[54]
+unit.2.0.port.-1.s.54.orderindex=-1
+unit.2.0.port.-1.s.54.visible=1
+unit.2.0.port.-1.s.55.alias=
+unit.2.0.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.55.name=DataPort[55]
+unit.2.0.port.-1.s.55.orderindex=-1
+unit.2.0.port.-1.s.55.visible=1
+unit.2.0.port.-1.s.56.alias=
+unit.2.0.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.56.name=DataPort[56]
+unit.2.0.port.-1.s.56.orderindex=-1
+unit.2.0.port.-1.s.56.visible=1
+unit.2.0.port.-1.s.57.alias=
+unit.2.0.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.57.name=DataPort[57]
+unit.2.0.port.-1.s.57.orderindex=-1
+unit.2.0.port.-1.s.57.visible=1
+unit.2.0.port.-1.s.58.alias=
+unit.2.0.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.58.name=DataPort[58]
+unit.2.0.port.-1.s.58.orderindex=-1
+unit.2.0.port.-1.s.58.visible=1
+unit.2.0.port.-1.s.59.alias=
+unit.2.0.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.59.name=DataPort[59]
+unit.2.0.port.-1.s.59.orderindex=-1
+unit.2.0.port.-1.s.59.visible=1
 unit.2.0.port.-1.s.6.alias=R_RETRANS
 unit.2.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.6.name=DataPort[6]
 unit.2.0.port.-1.s.6.orderindex=-1
 unit.2.0.port.-1.s.6.visible=1
 unit.2.0.port.-1.s.6.alias=R_RETRANS
 unit.2.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.6.name=DataPort[6]
 unit.2.0.port.-1.s.6.orderindex=-1
 unit.2.0.port.-1.s.6.visible=1
+unit.2.0.port.-1.s.60.alias=
+unit.2.0.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.60.name=DataPort[60]
+unit.2.0.port.-1.s.60.orderindex=-1
+unit.2.0.port.-1.s.60.visible=1
+unit.2.0.port.-1.s.61.alias=
+unit.2.0.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.61.name=DataPort[61]
+unit.2.0.port.-1.s.61.orderindex=-1
+unit.2.0.port.-1.s.61.visible=1
+unit.2.0.port.-1.s.62.alias=
+unit.2.0.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.62.name=DataPort[62]
+unit.2.0.port.-1.s.62.orderindex=-1
+unit.2.0.port.-1.s.62.visible=1
+unit.2.0.port.-1.s.63.alias=
+unit.2.0.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.63.name=DataPort[63]
+unit.2.0.port.-1.s.63.orderindex=-1
+unit.2.0.port.-1.s.63.visible=1
+unit.2.0.port.-1.s.64.alias=
+unit.2.0.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.64.name=DataPort[64]
+unit.2.0.port.-1.s.64.orderindex=-1
+unit.2.0.port.-1.s.64.visible=1
+unit.2.0.port.-1.s.65.alias=
+unit.2.0.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.65.name=DataPort[65]
+unit.2.0.port.-1.s.65.orderindex=-1
+unit.2.0.port.-1.s.65.visible=1
+unit.2.0.port.-1.s.66.alias=
+unit.2.0.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.66.name=DataPort[66]
+unit.2.0.port.-1.s.66.orderindex=-1
+unit.2.0.port.-1.s.66.visible=1
+unit.2.0.port.-1.s.67.alias=
+unit.2.0.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.67.name=DataPort[67]
+unit.2.0.port.-1.s.67.orderindex=-1
+unit.2.0.port.-1.s.67.visible=1
+unit.2.0.port.-1.s.68.alias=
+unit.2.0.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.68.name=DataPort[68]
+unit.2.0.port.-1.s.68.orderindex=-1
+unit.2.0.port.-1.s.68.visible=1
+unit.2.0.port.-1.s.69.alias=
+unit.2.0.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.69.name=DataPort[69]
+unit.2.0.port.-1.s.69.orderindex=-1
+unit.2.0.port.-1.s.69.visible=1
 unit.2.0.port.-1.s.7.alias=R_WRITE
 unit.2.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.7.name=DataPort[7]
 unit.2.0.port.-1.s.7.orderindex=-1
 unit.2.0.port.-1.s.7.visible=1
 unit.2.0.port.-1.s.7.alias=R_WRITE
 unit.2.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.7.name=DataPort[7]
 unit.2.0.port.-1.s.7.orderindex=-1
 unit.2.0.port.-1.s.7.visible=1
+unit.2.0.port.-1.s.70.alias=
+unit.2.0.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.70.name=DataPort[70]
+unit.2.0.port.-1.s.70.orderindex=-1
+unit.2.0.port.-1.s.70.visible=1
+unit.2.0.port.-1.s.71.alias=FRAMEn
+unit.2.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.71.name=DataPort[71]
+unit.2.0.port.-1.s.71.orderindex=-1
+unit.2.0.port.-1.s.71.visible=1
+unit.2.0.port.-1.s.72.alias=DataPort[72]
+unit.2.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.72.name=DataPort[72]
+unit.2.0.port.-1.s.72.orderindex=-1
+unit.2.0.port.-1.s.72.visible=1
+unit.2.0.port.-1.s.73.alias=
+unit.2.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.73.name=DataPort[73]
+unit.2.0.port.-1.s.73.orderindex=-1
+unit.2.0.port.-1.s.73.visible=1
+unit.2.0.port.-1.s.74.alias=
+unit.2.0.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.74.name=DataPort[74]
+unit.2.0.port.-1.s.74.orderindex=-1
+unit.2.0.port.-1.s.74.visible=1
+unit.2.0.port.-1.s.75.alias=
+unit.2.0.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.75.name=DataPort[75]
+unit.2.0.port.-1.s.75.orderindex=-1
+unit.2.0.port.-1.s.75.visible=1
+unit.2.0.port.-1.s.76.alias=
+unit.2.0.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.76.name=DataPort[76]
+unit.2.0.port.-1.s.76.orderindex=-1
+unit.2.0.port.-1.s.76.visible=1
+unit.2.0.port.-1.s.77.alias=
+unit.2.0.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.77.name=DataPort[77]
+unit.2.0.port.-1.s.77.orderindex=-1
+unit.2.0.port.-1.s.77.visible=1
+unit.2.0.port.-1.s.78.alias=
+unit.2.0.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.78.name=DataPort[78]
+unit.2.0.port.-1.s.78.orderindex=-1
+unit.2.0.port.-1.s.78.visible=1
+unit.2.0.port.-1.s.79.alias=
+unit.2.0.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.79.name=DataPort[79]
+unit.2.0.port.-1.s.79.orderindex=-1
+unit.2.0.port.-1.s.79.visible=1
 unit.2.0.port.-1.s.8.alias=S_Empty
 unit.2.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.8.name=DataPort[8]
 unit.2.0.port.-1.s.8.orderindex=-1
 unit.2.0.port.-1.s.8.visible=1
 unit.2.0.port.-1.s.8.alias=S_Empty
 unit.2.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.8.name=DataPort[8]
 unit.2.0.port.-1.s.8.orderindex=-1
 unit.2.0.port.-1.s.8.visible=1
+unit.2.0.port.-1.s.80.alias=
+unit.2.0.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.80.name=DataPort[80]
+unit.2.0.port.-1.s.80.orderindex=-1
+unit.2.0.port.-1.s.80.visible=1
+unit.2.0.port.-1.s.81.alias=
+unit.2.0.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.81.name=DataPort[81]
+unit.2.0.port.-1.s.81.orderindex=-1
+unit.2.0.port.-1.s.81.visible=1
+unit.2.0.port.-1.s.82.alias=
+unit.2.0.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.82.name=DataPort[82]
+unit.2.0.port.-1.s.82.orderindex=-1
+unit.2.0.port.-1.s.82.visible=1
+unit.2.0.port.-1.s.83.alias=
+unit.2.0.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.83.name=DataPort[83]
+unit.2.0.port.-1.s.83.orderindex=-1
+unit.2.0.port.-1.s.83.visible=1
+unit.2.0.port.-1.s.84.alias=
+unit.2.0.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.84.name=DataPort[84]
+unit.2.0.port.-1.s.84.orderindex=-1
+unit.2.0.port.-1.s.84.visible=1
+unit.2.0.port.-1.s.85.alias=
+unit.2.0.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.85.name=DataPort[85]
+unit.2.0.port.-1.s.85.orderindex=-1
+unit.2.0.port.-1.s.85.visible=1
+unit.2.0.port.-1.s.86.alias=
+unit.2.0.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.86.name=DataPort[86]
+unit.2.0.port.-1.s.86.orderindex=-1
+unit.2.0.port.-1.s.86.visible=1
+unit.2.0.port.-1.s.87.alias=
+unit.2.0.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.87.name=DataPort[87]
+unit.2.0.port.-1.s.87.orderindex=-1
+unit.2.0.port.-1.s.87.visible=1
+unit.2.0.port.-1.s.88.alias=
+unit.2.0.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.88.name=DataPort[88]
+unit.2.0.port.-1.s.88.orderindex=-1
+unit.2.0.port.-1.s.88.visible=1
+unit.2.0.port.-1.s.89.alias=
+unit.2.0.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.89.name=DataPort[89]
+unit.2.0.port.-1.s.89.orderindex=-1
+unit.2.0.port.-1.s.89.visible=1
 unit.2.0.port.-1.s.9.alias=S_Half
 unit.2.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.9.name=DataPort[9]
 unit.2.0.port.-1.s.9.orderindex=-1
 unit.2.0.port.-1.s.9.visible=1
 unit.2.0.port.-1.s.9.alias=S_Half
 unit.2.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.9.name=DataPort[9]
 unit.2.0.port.-1.s.9.orderindex=-1
 unit.2.0.port.-1.s.9.visible=1
+unit.2.0.port.-1.s.90.alias=
+unit.2.0.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.90.name=DataPort[90]
+unit.2.0.port.-1.s.90.orderindex=-1
+unit.2.0.port.-1.s.90.visible=1
+unit.2.0.port.-1.s.91.alias=
+unit.2.0.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.91.name=DataPort[91]
+unit.2.0.port.-1.s.91.orderindex=-1
+unit.2.0.port.-1.s.91.visible=1
+unit.2.0.port.-1.s.92.alias=
+unit.2.0.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.92.name=DataPort[92]
+unit.2.0.port.-1.s.92.orderindex=-1
+unit.2.0.port.-1.s.92.visible=1
+unit.2.0.port.-1.s.93.alias=
+unit.2.0.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.93.name=DataPort[93]
+unit.2.0.port.-1.s.93.orderindex=-1
+unit.2.0.port.-1.s.93.visible=1
+unit.2.0.port.-1.s.94.alias=
+unit.2.0.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.94.name=DataPort[94]
+unit.2.0.port.-1.s.94.orderindex=-1
+unit.2.0.port.-1.s.94.visible=1
+unit.2.0.port.-1.s.95.alias=
+unit.2.0.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.-1.s.95.name=DataPort[95]
+unit.2.0.port.-1.s.95.orderindex=-1
+unit.2.0.port.-1.s.95.visible=1
 unit.2.0.port.0.b.0.alias=
 unit.2.0.port.0.b.0.alias=
-unit.2.0.port.0.b.0.channellist=0 1 2 3 4 5 6 7
+unit.2.0.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
 unit.2.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.b.0.name=TriggerPort0
 unit.2.0.port.0.b.0.orderindex=-1
 unit.2.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.b.0.name=TriggerPort0
 unit.2.0.port.0.b.0.orderindex=-1
@@ -287,7 +655,7 @@ unit.2.0.port.0.b.0.unsignedPrecision=0
 unit.2.0.port.0.b.0.unsignedScaleFactor=1.0
 unit.2.0.port.0.b.0.visible=1
 unit.2.0.port.0.buscount=1
 unit.2.0.port.0.b.0.unsignedScaleFactor=1.0
 unit.2.0.port.0.b.0.visible=1
 unit.2.0.port.0.buscount=1
-unit.2.0.port.0.channelcount=8
+unit.2.0.port.0.channelcount=32
 unit.2.0.port.0.s.0.alias=PCI_INTA
 unit.2.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.0.name=TriggerPort0[0]
 unit.2.0.port.0.s.0.alias=PCI_INTA
 unit.2.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.0.name=TriggerPort0[0]
@@ -298,16 +666,126 @@ unit.2.0.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.1.name=TriggerPort0[1]
 unit.2.0.port.0.s.1.orderindex=-1
 unit.2.0.port.0.s.1.visible=1
 unit.2.0.port.0.s.1.name=TriggerPort0[1]
 unit.2.0.port.0.s.1.orderindex=-1
 unit.2.0.port.0.s.1.visible=1
+unit.2.0.port.0.s.10.alias=
+unit.2.0.port.0.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.10.name=TriggerPort0[10]
+unit.2.0.port.0.s.10.orderindex=-1
+unit.2.0.port.0.s.10.visible=1
+unit.2.0.port.0.s.11.alias=
+unit.2.0.port.0.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.11.name=TriggerPort0[11]
+unit.2.0.port.0.s.11.orderindex=-1
+unit.2.0.port.0.s.11.visible=1
+unit.2.0.port.0.s.12.alias=
+unit.2.0.port.0.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.12.name=TriggerPort0[12]
+unit.2.0.port.0.s.12.orderindex=-1
+unit.2.0.port.0.s.12.visible=1
+unit.2.0.port.0.s.13.alias=
+unit.2.0.port.0.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.13.name=TriggerPort0[13]
+unit.2.0.port.0.s.13.orderindex=-1
+unit.2.0.port.0.s.13.visible=1
+unit.2.0.port.0.s.14.alias=
+unit.2.0.port.0.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.14.name=TriggerPort0[14]
+unit.2.0.port.0.s.14.orderindex=-1
+unit.2.0.port.0.s.14.visible=1
+unit.2.0.port.0.s.15.alias=
+unit.2.0.port.0.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.15.name=TriggerPort0[15]
+unit.2.0.port.0.s.15.orderindex=-1
+unit.2.0.port.0.s.15.visible=1
+unit.2.0.port.0.s.16.alias=
+unit.2.0.port.0.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.16.name=TriggerPort0[16]
+unit.2.0.port.0.s.16.orderindex=-1
+unit.2.0.port.0.s.16.visible=1
+unit.2.0.port.0.s.17.alias=
+unit.2.0.port.0.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.17.name=TriggerPort0[17]
+unit.2.0.port.0.s.17.orderindex=-1
+unit.2.0.port.0.s.17.visible=1
+unit.2.0.port.0.s.18.alias=
+unit.2.0.port.0.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.18.name=TriggerPort0[18]
+unit.2.0.port.0.s.18.orderindex=-1
+unit.2.0.port.0.s.18.visible=1
+unit.2.0.port.0.s.19.alias=
+unit.2.0.port.0.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.19.name=TriggerPort0[19]
+unit.2.0.port.0.s.19.orderindex=-1
+unit.2.0.port.0.s.19.visible=1
 unit.2.0.port.0.s.2.alias=R_WRITE
 unit.2.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.2.name=TriggerPort0[2]
 unit.2.0.port.0.s.2.orderindex=-1
 unit.2.0.port.0.s.2.visible=1
 unit.2.0.port.0.s.2.alias=R_WRITE
 unit.2.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.2.name=TriggerPort0[2]
 unit.2.0.port.0.s.2.orderindex=-1
 unit.2.0.port.0.s.2.visible=1
+unit.2.0.port.0.s.20.alias=
+unit.2.0.port.0.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.20.name=TriggerPort0[20]
+unit.2.0.port.0.s.20.orderindex=-1
+unit.2.0.port.0.s.20.visible=1
+unit.2.0.port.0.s.21.alias=
+unit.2.0.port.0.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.21.name=TriggerPort0[21]
+unit.2.0.port.0.s.21.orderindex=-1
+unit.2.0.port.0.s.21.visible=1
+unit.2.0.port.0.s.22.alias=
+unit.2.0.port.0.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.22.name=TriggerPort0[22]
+unit.2.0.port.0.s.22.orderindex=-1
+unit.2.0.port.0.s.22.visible=1
+unit.2.0.port.0.s.23.alias=
+unit.2.0.port.0.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.23.name=TriggerPort0[23]
+unit.2.0.port.0.s.23.orderindex=-1
+unit.2.0.port.0.s.23.visible=1
+unit.2.0.port.0.s.24.alias=
+unit.2.0.port.0.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.24.name=TriggerPort0[24]
+unit.2.0.port.0.s.24.orderindex=-1
+unit.2.0.port.0.s.24.visible=1
+unit.2.0.port.0.s.25.alias=
+unit.2.0.port.0.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.25.name=TriggerPort0[25]
+unit.2.0.port.0.s.25.orderindex=-1
+unit.2.0.port.0.s.25.visible=1
+unit.2.0.port.0.s.26.alias=
+unit.2.0.port.0.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.26.name=TriggerPort0[26]
+unit.2.0.port.0.s.26.orderindex=-1
+unit.2.0.port.0.s.26.visible=1
+unit.2.0.port.0.s.27.alias=FRAMEn
+unit.2.0.port.0.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.27.name=TriggerPort0[27]
+unit.2.0.port.0.s.27.orderindex=-1
+unit.2.0.port.0.s.27.visible=1
+unit.2.0.port.0.s.28.alias=CBEn<0>
+unit.2.0.port.0.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.28.name=TriggerPort0[28]
+unit.2.0.port.0.s.28.orderindex=-1
+unit.2.0.port.0.s.28.visible=1
+unit.2.0.port.0.s.29.alias=CBEn<1>
+unit.2.0.port.0.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.29.name=TriggerPort0[29]
+unit.2.0.port.0.s.29.orderindex=-1
+unit.2.0.port.0.s.29.visible=1
 unit.2.0.port.0.s.3.alias=S_READ
 unit.2.0.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.3.name=TriggerPort0[3]
 unit.2.0.port.0.s.3.orderindex=-1
 unit.2.0.port.0.s.3.visible=1
 unit.2.0.port.0.s.3.alias=S_READ
 unit.2.0.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.3.name=TriggerPort0[3]
 unit.2.0.port.0.s.3.orderindex=-1
 unit.2.0.port.0.s.3.visible=1
+unit.2.0.port.0.s.30.alias=CBEn<2>
+unit.2.0.port.0.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.30.name=TriggerPort0[30]
+unit.2.0.port.0.s.30.orderindex=-1
+unit.2.0.port.0.s.30.visible=1
+unit.2.0.port.0.s.31.alias=CBEn<3>
+unit.2.0.port.0.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.31.name=TriggerPort0[31]
+unit.2.0.port.0.s.31.orderindex=-1
+unit.2.0.port.0.s.31.visible=1
 unit.2.0.port.0.s.4.alias=S_WRITE
 unit.2.0.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.4.name=TriggerPort0[4]
 unit.2.0.port.0.s.4.alias=S_WRITE
 unit.2.0.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.4.name=TriggerPort0[4]
@@ -328,78 +806,98 @@ unit.2.0.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.7.name=TriggerPort0[7]
 unit.2.0.port.0.s.7.orderindex=-1
 unit.2.0.port.0.s.7.visible=1
 unit.2.0.port.0.s.7.name=TriggerPort0[7]
 unit.2.0.port.0.s.7.orderindex=-1
 unit.2.0.port.0.s.7.visible=1
+unit.2.0.port.0.s.8.alias=
+unit.2.0.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.8.name=TriggerPort0[8]
+unit.2.0.port.0.s.8.orderindex=-1
+unit.2.0.port.0.s.8.visible=1
+unit.2.0.port.0.s.9.alias=
+unit.2.0.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.0.port.0.s.9.name=TriggerPort0[9]
+unit.2.0.port.0.s.9.orderindex=-1
+unit.2.0.port.0.s.9.visible=1
 unit.2.0.portcount=1
 unit.2.0.samplesPerTrigger=1
 unit.2.0.triggerCapture=1
 unit.2.0.triggerNSamplesTS=0
 unit.2.0.portcount=1
 unit.2.0.samplesPerTrigger=1
 unit.2.0.triggerCapture=1
 unit.2.0.triggerNSamplesTS=0
-unit.2.0.triggerPosition=16
+unit.2.0.triggerPosition=32
 unit.2.0.triggerWindowCount=1
 unit.2.0.triggerWindowCount=1
-unit.2.0.triggerWindowDepth=8192
+unit.2.0.triggerWindowDepth=4096
 unit.2.0.triggerWindowTS=0
 unit.2.0.username=MyILA0
 unit.2.0.triggerWindowTS=0
 unit.2.0.username=MyILA0
-unit.2.0.waveform.count=22
-unit.2.0.waveform.posn.0.channel=0
-unit.2.0.waveform.posn.0.name=INTAn
+unit.2.0.waveform.count=25
+unit.2.0.waveform.posn.0.channel=2147483646
+unit.2.0.waveform.posn.0.name=PCI
 unit.2.0.waveform.posn.0.radix=1
 unit.2.0.waveform.posn.0.radix=1
-unit.2.0.waveform.posn.0.type=signal
+unit.2.0.waveform.posn.0.type=bus
 unit.2.0.waveform.posn.1.channel=2147483646
 unit.2.0.waveform.posn.1.channel=2147483646
-unit.2.0.waveform.posn.1.name=R_FIFO
+unit.2.0.waveform.posn.1.name=CBE_ADDR
 unit.2.0.waveform.posn.1.radix=1
 unit.2.0.waveform.posn.1.type=bus
 unit.2.0.waveform.posn.1.radix=1
 unit.2.0.waveform.posn.1.type=bus
-unit.2.0.waveform.posn.10.channel=8
-unit.2.0.waveform.posn.10.name=S_Empty
+unit.2.0.waveform.posn.10.channel=5
+unit.2.0.waveform.posn.10.name=R_RESET
 unit.2.0.waveform.posn.10.radix=1
 unit.2.0.waveform.posn.10.type=signal
 unit.2.0.waveform.posn.10.radix=1
 unit.2.0.waveform.posn.10.type=signal
-unit.2.0.waveform.posn.11.channel=9
-unit.2.0.waveform.posn.11.name=S_Half
+unit.2.0.waveform.posn.11.channel=6
+unit.2.0.waveform.posn.11.name=R_RETRANS
+unit.2.0.waveform.posn.11.radix=1
 unit.2.0.waveform.posn.11.type=signal
 unit.2.0.waveform.posn.11.type=signal
-unit.2.0.waveform.posn.12.channel=10
-unit.2.0.waveform.posn.12.name=S_Full
+unit.2.0.waveform.posn.12.channel=7
+unit.2.0.waveform.posn.12.name=R_WRITE
+unit.2.0.waveform.posn.12.radix=1
 unit.2.0.waveform.posn.12.type=signal
 unit.2.0.waveform.posn.12.type=signal
-unit.2.0.waveform.posn.13.channel=11
-unit.2.0.waveform.posn.13.name=S_READ
-unit.2.0.waveform.posn.13.type=signal
-unit.2.0.waveform.posn.14.channel=12
-unit.2.0.waveform.posn.14.name=S_RESET
+unit.2.0.waveform.posn.13.channel=2147483646
+unit.2.0.waveform.posn.13.name=S_FIFO
+unit.2.0.waveform.posn.13.radix=1
+unit.2.0.waveform.posn.13.type=bus
+unit.2.0.waveform.posn.14.channel=8
+unit.2.0.waveform.posn.14.name=S_Empty
+unit.2.0.waveform.posn.14.radix=1
 unit.2.0.waveform.posn.14.type=signal
 unit.2.0.waveform.posn.14.type=signal
-unit.2.0.waveform.posn.15.channel=13
-unit.2.0.waveform.posn.15.name=S_RETRANS
+unit.2.0.waveform.posn.15.channel=9
+unit.2.0.waveform.posn.15.name=S_Half
 unit.2.0.waveform.posn.15.type=signal
 unit.2.0.waveform.posn.15.type=signal
-unit.2.0.waveform.posn.16.channel=14
-unit.2.0.waveform.posn.16.name=S_WRITE
+unit.2.0.waveform.posn.16.channel=10
+unit.2.0.waveform.posn.16.name=S_Full
 unit.2.0.waveform.posn.16.type=signal
 unit.2.0.waveform.posn.16.type=signal
-unit.2.0.waveform.posn.17.channel=15
-unit.2.0.waveform.posn.17.name=SER_IN
+unit.2.0.waveform.posn.17.channel=11
+unit.2.0.waveform.posn.17.name=S_READ
 unit.2.0.waveform.posn.17.type=signal
 unit.2.0.waveform.posn.17.type=signal
-unit.2.0.waveform.posn.18.channel=16
-unit.2.0.waveform.posn.18.name=SPC_RDY_IN
+unit.2.0.waveform.posn.18.channel=12
+unit.2.0.waveform.posn.18.name=S_RESET
 unit.2.0.waveform.posn.18.type=signal
 unit.2.0.waveform.posn.18.type=signal
-unit.2.0.waveform.posn.19.channel=17
-unit.2.0.waveform.posn.19.name=SER_OUT
+unit.2.0.waveform.posn.19.channel=13
+unit.2.0.waveform.posn.19.name=S_RETRANS
 unit.2.0.waveform.posn.19.type=signal
 unit.2.0.waveform.posn.19.type=signal
-unit.2.0.waveform.posn.2.channel=1
-unit.2.0.waveform.posn.2.name=R_Empty
-unit.2.0.waveform.posn.2.type=signal
-unit.2.0.waveform.posn.20.channel=18
-unit.2.0.waveform.posn.20.name=SPC_RDY_OUT
+unit.2.0.waveform.posn.2.channel=2147483646
+unit.2.0.waveform.posn.2.name=CBEn
+unit.2.0.waveform.posn.2.radix=6
+unit.2.0.waveform.posn.2.type=bus
+unit.2.0.waveform.posn.20.channel=14
+unit.2.0.waveform.posn.20.name=S_WRITE
 unit.2.0.waveform.posn.20.type=signal
 unit.2.0.waveform.posn.20.type=signal
-unit.2.0.waveform.posn.21.channel=35
-unit.2.0.waveform.posn.21.name=DataPort[35]
+unit.2.0.waveform.posn.21.channel=15
+unit.2.0.waveform.posn.21.name=SER_IN
+unit.2.0.waveform.posn.21.radix=1
 unit.2.0.waveform.posn.21.type=signal
 unit.2.0.waveform.posn.21.type=signal
-unit.2.0.waveform.posn.22.channel=35
-unit.2.0.waveform.posn.22.name=DataPort[35]
+unit.2.0.waveform.posn.22.channel=16
+unit.2.0.waveform.posn.22.name=SPC_RDY_IN
+unit.2.0.waveform.posn.22.radix=1
 unit.2.0.waveform.posn.22.type=signal
 unit.2.0.waveform.posn.22.type=signal
-unit.2.0.waveform.posn.23.channel=35
-unit.2.0.waveform.posn.23.name=DataPort[35]
+unit.2.0.waveform.posn.23.channel=17
+unit.2.0.waveform.posn.23.name=SER_OUT
+unit.2.0.waveform.posn.23.radix=1
 unit.2.0.waveform.posn.23.type=signal
 unit.2.0.waveform.posn.23.type=signal
-unit.2.0.waveform.posn.24.channel=35
-unit.2.0.waveform.posn.24.name=DataPort[35]
+unit.2.0.waveform.posn.24.channel=18
+unit.2.0.waveform.posn.24.name=SPC_RDY_OUT
+unit.2.0.waveform.posn.24.radix=1
 unit.2.0.waveform.posn.24.type=signal
 unit.2.0.waveform.posn.24.type=signal
-unit.2.0.waveform.posn.25.channel=35
-unit.2.0.waveform.posn.25.name=DataPort[35]
+unit.2.0.waveform.posn.25.channel=18
+unit.2.0.waveform.posn.25.name=SPC_RDY_OUT
+unit.2.0.waveform.posn.25.radix=1
 unit.2.0.waveform.posn.25.type=signal
 unit.2.0.waveform.posn.25.type=signal
-unit.2.0.waveform.posn.26.channel=35
-unit.2.0.waveform.posn.26.name=DataPort[35]
+unit.2.0.waveform.posn.26.channel=72
+unit.2.0.waveform.posn.26.name=DEVSELn
 unit.2.0.waveform.posn.26.type=signal
 unit.2.0.waveform.posn.27.channel=35
 unit.2.0.waveform.posn.27.name=DataPort[35]
 unit.2.0.waveform.posn.26.type=signal
 unit.2.0.waveform.posn.27.channel=35
 unit.2.0.waveform.posn.27.name=DataPort[35]
@@ -410,8 +908,9 @@ unit.2.0.waveform.posn.28.type=signal
 unit.2.0.waveform.posn.29.channel=35
 unit.2.0.waveform.posn.29.name=DataPort[35]
 unit.2.0.waveform.posn.29.type=signal
 unit.2.0.waveform.posn.29.channel=35
 unit.2.0.waveform.posn.29.name=DataPort[35]
 unit.2.0.waveform.posn.29.type=signal
-unit.2.0.waveform.posn.3.channel=2
-unit.2.0.waveform.posn.3.name=R_Half
+unit.2.0.waveform.posn.3.channel=71
+unit.2.0.waveform.posn.3.name=FRAMEn
+unit.2.0.waveform.posn.3.radix=6
 unit.2.0.waveform.posn.3.type=signal
 unit.2.0.waveform.posn.30.channel=35
 unit.2.0.waveform.posn.30.name=DataPort[35]
 unit.2.0.waveform.posn.3.type=signal
 unit.2.0.waveform.posn.30.channel=35
 unit.2.0.waveform.posn.30.name=DataPort[35]
@@ -437,23 +936,27 @@ unit.2.0.waveform.posn.36.type=signal
 unit.2.0.waveform.posn.37.channel=35
 unit.2.0.waveform.posn.37.name=DataPort[35]
 unit.2.0.waveform.posn.37.type=signal
 unit.2.0.waveform.posn.37.channel=35
 unit.2.0.waveform.posn.37.name=DataPort[35]
 unit.2.0.waveform.posn.37.type=signal
-unit.2.0.waveform.posn.4.channel=3
-unit.2.0.waveform.posn.4.name=R_Full
+unit.2.0.waveform.posn.4.channel=0
+unit.2.0.waveform.posn.4.name=INTAn
+unit.2.0.waveform.posn.4.radix=1
 unit.2.0.waveform.posn.4.type=signal
 unit.2.0.waveform.posn.4.type=signal
-unit.2.0.waveform.posn.5.channel=4
-unit.2.0.waveform.posn.5.name=R_READ
-unit.2.0.waveform.posn.5.type=signal
-unit.2.0.waveform.posn.6.channel=5
-unit.2.0.waveform.posn.6.name=R_RESET
+unit.2.0.waveform.posn.5.channel=2147483646
+unit.2.0.waveform.posn.5.name=R_FIFO
+unit.2.0.waveform.posn.5.radix=1
+unit.2.0.waveform.posn.5.type=bus
+unit.2.0.waveform.posn.6.channel=1
+unit.2.0.waveform.posn.6.name=R_Empty
+unit.2.0.waveform.posn.6.radix=1
 unit.2.0.waveform.posn.6.type=signal
 unit.2.0.waveform.posn.6.type=signal
-unit.2.0.waveform.posn.7.channel=6
-unit.2.0.waveform.posn.7.name=R_RETRANS
+unit.2.0.waveform.posn.7.channel=2
+unit.2.0.waveform.posn.7.name=R_Half
+unit.2.0.waveform.posn.7.radix=1
 unit.2.0.waveform.posn.7.type=signal
 unit.2.0.waveform.posn.7.type=signal
-unit.2.0.waveform.posn.8.channel=7
-unit.2.0.waveform.posn.8.name=R_WRITE
+unit.2.0.waveform.posn.8.channel=3
+unit.2.0.waveform.posn.8.name=R_Full
 unit.2.0.waveform.posn.8.radix=1
 unit.2.0.waveform.posn.8.type=signal
 unit.2.0.waveform.posn.8.radix=1
 unit.2.0.waveform.posn.8.type=signal
-unit.2.0.waveform.posn.9.channel=2147483646
-unit.2.0.waveform.posn.9.name=S_FIFO
+unit.2.0.waveform.posn.9.channel=4
+unit.2.0.waveform.posn.9.name=R_READ
 unit.2.0.waveform.posn.9.radix=1
 unit.2.0.waveform.posn.9.radix=1
-unit.2.0.waveform.posn.9.type=bus
+unit.2.0.waveform.posn.9.type=signal
diff --git a/dhwk/dhwk.tok b/dhwk/dhwk.tok
new file mode 100644 (file)
index 0000000..2bfaf08
--- /dev/null
@@ -0,0 +1,12 @@
+INT_ACK=0000\b
+Special_Cycle=0001\b
+IO_Read=0010\b
+IO_Write=0011\b
+MEM_Read=0110\b
+MEM_Write=0111\b
+CFG_Read=1010\b
+CFG_Write=1011\b
+MEM_ReadMult=1100\b
+DAC=1101\b
+MEM_ReadLine=1110\b
+MEM_WriteAndInv=1111\b
Impressum, Datenschutz