]> git.zerfleddert.de Git - raggedstone/commitdiff
level
authormichael <michael>
Sat, 10 Mar 2007 18:01:41 +0000 (18:01 +0000)
committermichael <michael>
Sat, 10 Mar 2007 18:01:41 +0000 (18:01 +0000)
dhwk/source/INTERRUPT.vhd

index 1bbc7d11896480421cf08e233e49aaf8937a4cf4..cba393572b11e86209eef3a04c5a51d9fc0ffe94 100644 (file)
@@ -110,8 +110,9 @@ begin
                                if(RESET = '1') then\r
                                        REG <= "00000000";\r
 \r
-               elsif(SIG_TAST_Q = '1') then\r
-                       REG <= "00000000" or SET;\r
+               -- elsif(SIG_TAST_Q = '1') then\r
+               --      REG <= "00000000" or SET;\r
+               \r
 \r
         elsif (TRDYn = '0' AND READ_XX5_4 = '1') then\r
             REG <= (REG AND NOT INT_RES) OR SET;\r
Impressum, Datenschutz