]> git.zerfleddert.de Git - raggedstone/commitdiff
mask them out manually
authorsithglan <sithglan>
Wed, 21 Mar 2007 12:06:39 +0000 (12:06 +0000)
committersithglan <sithglan>
Wed, 21 Mar 2007 12:06:39 +0000 (12:06 +0000)
ethernet/source/top.vhd

index 34d0bdc6c558530e9aaa99e49ad046dbcdf63724..d1a47da72297991e6c4f5b4080843902f5160b33 100644 (file)
@@ -220,6 +220,7 @@ signal md_pad_o : std_logic;
 signal md_padoe_o : std_logic;
 signal int_o : std_logic;
 signal wbm_adr_o : std_logic_vector(31 downto 0);
+signal wb_stb_i_helper : std_logic_vector(31 downto 0);
 
 signal m_wb_cti_o : std_logic_vector(2 downto 0);
 signal m_wb_bte_o : std_logic_vector(1 downto 0);
@@ -254,10 +255,14 @@ end generate;
 
 wb_adr_i <= wbm_adr_o (11 downto 2);
 
+wb_stb_i_helper(32 downto 8) <= (others => '0');
+wb_stb_i_helper(7 downto 0) <= wbm_adr_o (7 downto 0);
+
 wb_clk_i <= PCI_CLOCK;
 
-data(31 downto 0) <= wbm_adr_o;
-data(63 downto 32) <= (others => '0');
+data(31 downto 0)  <= wbm_adr_o;
+data(40 downto 33) <= wbm_adr_o (7 downto 0);
+data(63 downto 41) <= (others => '0');
 
 trig0(31 downto 0) <= (
        0 => wb_stb_i,
@@ -345,7 +350,7 @@ Inst_eth_top: eth_top PORT MAP(
         wb_sel_i   => wb_sel_i  ,
         wb_we_i    => wb_we_i   ,
         wb_cyc_i   => wb_cyc_i  ,
-        wb_stb_i   => wb_stb_i  ,
+        wb_stb_i   => wb_stb_i_helper  ,
         wb_ack_o   => wb_ack_o  ,
         wb_err_o   => wb_err_o  ,
         m_wb_adr_o => m_wb_adr_o,
Impressum, Datenschutz