]> git.zerfleddert.de Git - raggedstone/commitdiff
semicolon
authormichael <michael>
Sun, 11 Feb 2007 22:18:51 +0000 (22:18 +0000)
committermichael <michael>
Sun, 11 Feb 2007 22:18:51 +0000 (22:18 +0000)
dhwk_old/source/top_dhwk.vhd

index 841f82ad751ef1a9a6fe9cf21a22e4c29e1d139b..98add0f6afdc431be6468692799b8b467d1c03c9 100644 (file)
@@ -165,7 +165,7 @@ port (
        wce             : in std_logic;\r
        we              : in std_logic;\r
        waddr           : in std_logic_vector(11 downto 0);\r
-       di              : in std_logic_vector(7 downto 0);\r
+       di              : in std_logic_vector(7 downto 0)\r
 );\r
 end component;\r
 \r
Impressum, Datenschutz