]> git.zerfleddert.de Git - raggedstone/commitdiff
test target
authorsithglan <sithglan>
Sun, 11 Mar 2007 12:46:04 +0000 (12:46 +0000)
committersithglan <sithglan>
Sun, 11 Mar 2007 12:46:04 +0000 (12:46 +0000)
dhwk/Makefile

index 2c9f4fbe5759956a59e152f5662ddb4bd91f61d0..4c431de71e2c09320c71bc4d85896f615e39d02b 100644 (file)
@@ -15,4 +15,10 @@ dhwk_fifo.ngc: fifo.xco
        coregen -b $<
        -rmdir -p tmp/_cg
 
+test:
+       dd if=/dev/urandom of=/tmp/in bs=1024 count=1024
+       dd if=/dev/dhwk    of=/tmp/out bs=1024 count=1024&
+       dd if=/tmp/in      of=/dev/dhwk bs=1024 count=1024
+       md5sum /tmp/in /tmp/out
+
 include ../common/Makefile.common
Impressum, Datenschutz