]> git.zerfleddert.de Git - raggedstone/commitdiff
not not
authormichael <michael>
Sat, 10 Mar 2007 14:13:22 +0000 (14:13 +0000)
committermichael <michael>
Sat, 10 Mar 2007 14:13:22 +0000 (14:13 +0000)
dhwk/source/INTERRUPT.vhd

index 65d89e150be891be31188abd4c2c25064e54961f..d4b8b45ff92681a8b3ced4e2f2dcf6ed67addd14 100644 (file)
@@ -122,14 +122,14 @@ begin
        end process;\r
 \r
        SIG_PROPAGATE_INT <= SIG_TAST_Q\r
-            OR (REG(0) AND not INT_MASKE(0)) \r
-            OR (REG(1) AND not INT_MASKE(1))\r
-            OR (REG(2) AND not INT_MASKE(2))\r
-            OR (REG(3) AND not INT_MASKE(3))\r
-            OR (REG(4) AND not INT_MASKE(4))\r
-            OR (REG(5) AND not INT_MASKE(5))\r
-            OR (REG(6) AND not INT_MASKE(6))\r
-            OR (REG(7) AND not INT_MASKE(7));\r
+            OR (REG(0) AND INT_MASKE(0)) \r
+            OR (REG(1) AND INT_MASKE(1))\r
+            OR (REG(2) AND INT_MASKE(2))\r
+            OR (REG(3) AND INT_MASKE(3))\r
+            OR (REG(4) AND INT_MASKE(4))\r
+            OR (REG(5) AND INT_MASKE(5))\r
+            OR (REG(6) AND INT_MASKE(6))\r
+            OR (REG(7) AND INT_MASKE(7));\r
 \r
        process (PCI_CLOCK)\r
        begin\r
Impressum, Datenschutz