]> git.zerfleddert.de Git - raggedstone/commitdiff
begin to merge confi space
authorsithglan <sithglan>
Sun, 11 Mar 2007 10:50:27 +0000 (10:50 +0000)
committersithglan <sithglan>
Sun, 11 Mar 2007 10:50:27 +0000 (10:50 +0000)
dhwk/dhwk.prj
dhwk/source/pci/config_00h.vhd [deleted file]
dhwk/source/pci/config_space_header.vhd

index 113fec6997e53a7fddcccee7a6ebbf67c745d5a6..e8c63b73428b73dcc91708149c893b187d30b75d 100644 (file)
@@ -3,7 +3,6 @@ vhdl work "source/ser_par_con.vhd"
 vhdl work "source/pci/address_register.vhd"
 vhdl work "source/pci/comm_dec.vhd"
 vhdl work "source/pci/comm_fsm.vhd"
 vhdl work "source/pci/address_register.vhd"
 vhdl work "source/pci/comm_dec.vhd"
 vhdl work "source/pci/comm_fsm.vhd"
-vhdl work "source/pci/config_00h.vhd"
 vhdl work "source/pci/config_04h.vhd"
 vhdl work "source/pci/config_08h.vhd"
 vhdl work "source/pci/config_10h.vhd"
 vhdl work "source/pci/config_04h.vhd"
 vhdl work "source/pci/config_08h.vhd"
 vhdl work "source/pci/config_10h.vhd"
diff --git a/dhwk/source/pci/config_00h.vhd b/dhwk/source/pci/config_00h.vhd
deleted file mode 100644 (file)
index 98b4532..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
--- J.STELZNER
--- INFORMATIK-3 LABOR
--- 23.08.2006
--- File: CONFIG_00H.VHD
-
-library IEEE;
-use IEEE.std_logic_1164.all;
-
-entity CONFIG_00H is
-        port
-        (
-                VENDOR_ID :in std_logic_vector (15 downto 0);
-                CONF_DATA_00H :out std_logic_vector (31 downto 0)
-        );
-end entity CONFIG_00H;
-
-architecture CONFIG_00H_DESIGN of CONFIG_00H is
-
- -- PCI Configuration Space Header Addr : HEX 00 --
-
-        constant CONF_DEVICE_ID :std_logic_vector(31 downto 16) := X"AFFE";--????
-        --constant CONF_VENDOR_ID :std_logic_vector(15 downto 0) := X"BAFF";--????
-
-begin
-
-        CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID;
-
-end architecture CONFIG_00H_DESIGN;
index 15db761375688bbf78f5f44f9071e2e50aa0d795..de5798384e95440898d64172cfc16f06f93e8b25 100644 (file)
@@ -27,6 +27,8 @@ end CONFIG_SPACE_HEADER;
 
 architecture SCHEMATIC of CONFIG_SPACE_HEADER is
 
 
 architecture SCHEMATIC of CONFIG_SPACE_HEADER is
 
+        constant CONF_DEVICE_ID :std_logic_vector(31 downto 16) := X"AFFE";
+
         SIGNAL gnd : std_logic := '0';
         SIGNAL vcc : std_logic := '1';
 
         SIGNAL gnd : std_logic := '0';
         SIGNAL vcc : std_logic := '1';
 
@@ -89,11 +91,6 @@ architecture SCHEMATIC of CONFIG_SPACE_HEADER is
                        CONF_DATA_08H : Out std_logic_vector (31 downto 0) );
         end component;
 
                        CONF_DATA_08H : Out std_logic_vector (31 downto 0) );
         end component;
 
-        component CONFIG_00H
-                Port ( VENDOR_ID : In std_logic_vector (15 downto 0);
-                       CONF_DATA_00H : Out std_logic_vector (31 downto 0) );
-        end component;
-
         component CONFIG_04H
                 Port ( AD_REG : In std_logic_vector (31 downto 0);
                        CBE_REGn : In std_logic_vector (3 downto 0);
         component CONFIG_04H
                 Port ( AD_REG : In std_logic_vector (31 downto 0);
                        CBE_REGn : In std_logic_vector (3 downto 0);
@@ -106,6 +103,7 @@ architecture SCHEMATIC of CONFIG_SPACE_HEADER is
         end component;
 
 begin
         end component;
 
 begin
+        CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID;
 
         CONF_DATA_04H <= CONF_DATA_04H_DUMMY;
         CONF_DATA_10H <= CONF_DATA_10H_DUMMY;
 
         CONF_DATA_04H <= CONF_DATA_04H_DUMMY;
         CONF_DATA_10H <= CONF_DATA_10H_DUMMY;
@@ -142,9 +140,6 @@ begin
         I4 : CONFIG_08H
         Port Map ( REVISION_ID(7 downto 0)=>REVISION_ID(7 downto 0),
         CONF_DATA_08H(31 downto 0)=>CONF_DATA_08H(31 downto 0) );
         I4 : CONFIG_08H
         Port Map ( REVISION_ID(7 downto 0)=>REVISION_ID(7 downto 0),
         CONF_DATA_08H(31 downto 0)=>CONF_DATA_08H(31 downto 0) );
-        I3 : CONFIG_00H
-        Port Map ( VENDOR_ID(15 downto 0)=>VENDOR_ID(15 downto 0),
-        CONF_DATA_00H(31 downto 0)=>CONF_DATA_00H(31 downto 0) );
         I2 : CONFIG_04H
         Port Map ( AD_REG(31 downto 0)=>AD_REG(31 downto 0),
         CBE_REGn(3 downto 0)=>CBE_REGn(3 downto 0),
         I2 : CONFIG_04H
         Port Map ( AD_REG(31 downto 0)=>AD_REG(31 downto 0),
         CBE_REGn(3 downto 0)=>CBE_REGn(3 downto 0),
Impressum, Datenschutz