]> git.zerfleddert.de Git - proxmark3-svn/commitdiff
New LF edge detection algorithm + lowpass filter
authoriZsh <izsh@fail0verflow.com>
Sat, 21 Jun 2014 22:26:38 +0000 (00:26 +0200)
committeriZsh <izsh@fail0verflow.com>
Fri, 27 Jun 2014 12:27:03 +0000 (14:27 +0200)
This is a new LF edge detection algorithm for the FPGA.

- It uses a low-pass IIR filter to clean the signal
(see https://fail0verflow.com/blog/2014/proxmark3-fpga-iir-filter.html)
- The algorithm is able to detect consecutive peaks in the same
  direction
- It uses an envelope follower to dynamically adjust the peak thresholds
- The main threshold used in the envelope follower can be set from the ARM side

fpga/lf_edge_detect.v,
fpga/lp20khz_1MSa_iir_filter.v,
fpga/min_max_tracker.v: New file.

fpga/lo_edge_detect.v, fpga/fpga_lf.v: Modify accordingly.

armsrc/apps.h (FPGA_CMD_SET_USER_BYTE1,
FPGA_CMD_SET_EDGE_DETECT_THRESHOLD): New FPGA command.
fpga/fpga_lf.v: Modify accordingly/Add a 8bit user register.

fpga/fpga_lf.bit: Update accordingly.

fpga/tests: New directory for testbenches

fpga/tests/Makefile: New file. It compiles the testbenches
and runs all the tests by default (comparing with the golden output)

fpga/tests/tb_lp20khz_1MSa_iir_filter.v,
fpga/tests/tb_min_max_tracker.v,
fpga/tests/tb_lf_edge_detect.v: New testbenches

fpga/tests/plot_edgedetect.py: New script to plot the results from
the edge detection tests.

fpga/tests/tb_data: New directory for data and golden outputs

36 files changed:
.gitignore
armsrc/apps.h
fpga/Makefile
fpga/fpga_lf.bit
fpga/fpga_lf.v
fpga/lf_edge_detect.v [new file with mode: 0644]
fpga/lo_edge_detect.v
fpga/lp20khz_1MSa_iir_filter.v [new file with mode: 0644]
fpga/min_max_tracker.v [new file with mode: 0644]
fpga/tests/Makefile [new file with mode: 0644]
fpga/tests/plot_edgedetect.py [new file with mode: 0755]
fpga/tests/tb_data/pcf7931_read_1MSA_data.filtered.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_read_1MSA_data.high.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_read_1MSA_data.highz.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_read_1MSA_data.in [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_read_1MSA_data.low.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_read_1MSA_data.lowz.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_read_1MSA_data.max.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_read_1MSA_data.min.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_read_1MSA_data.state.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_read_1MSA_data.time [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_read_1MSA_data.toggle.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.filtered.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.high.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.highz.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.in [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.low.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.lowz.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.max.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.min.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.state.gold [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.time [new file with mode: 0644]
fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.toggle.gold [new file with mode: 0644]
fpga/tests/tb_lf_edge_detect.v [new file with mode: 0644]
fpga/tests/tb_lp20khz_1MSa_iir_filter.v [new file with mode: 0644]
fpga/tests/tb_min_max_tracker.v [new file with mode: 0644]

index 3b258b3be809cd6c7e4b910ef49d5ef12e36a140..ea93d61f674061c741675128472a807978a71b81 100644 (file)
@@ -19,6 +19,7 @@ lua
 luac
 
 fpga/*
+!fpga/tests
 !fpga/fpga_lf.bit
 !fpga/fpga_hf.bit
 !fpga/*.v
index 4c7fa19006e5d3a684ba77e315b42653f516aed5..364efe4b38b4403835373a3b6474e4dfe4506032 100644 (file)
@@ -81,6 +81,7 @@ void SetAdcMuxFor(uint32_t whichGpio);
 // Definitions for the FPGA commands.
 #define FPGA_CMD_SET_CONFREG                                   (1<<12)
 #define FPGA_CMD_SET_DIVISOR                                   (2<<12)
+#define FPGA_CMD_SET_USER_BYTE1                                        (3<<12)
 // Definitions for the FPGA configuration word.
 // LF
 #define FPGA_MAJOR_MODE_LF_ADC                                 (0<<5)
@@ -96,7 +97,9 @@ void SetAdcMuxFor(uint32_t whichGpio);
 // Options for LF_ADC
 #define FPGA_LF_ADC_READER_FIELD                               (1<<0)
 // Options for LF_EDGE_DETECT
+#define FPGA_CMD_SET_EDGE_DETECT_THRESHOLD                     FPGA_CMD_SET_USER_BYTE1
 #define FPGA_LF_EDGE_DETECT_READER_FIELD                       (1<<0)
+#define FPGA_LF_EDGE_DETECT_TOGGLE_MODE                                (1<<1)
 // Options for the HF reader, tx to tag
 #define FPGA_HF_READER_TX_SHALLOW_MOD                          (1<<0)
 // Options for the HF reader, correlating against rx from tag
index 1aaa9f7603f8f791f6007d0eb2ea69628e0a0bb3..fad2ff04c13ab90f4285e631932c4ae803d97335 100644 (file)
@@ -9,7 +9,7 @@ fpga_hf.ngc: fpga_hf.v fpga.ucf xst_hf.scr util.v hi_simulate.v hi_read_tx.v hi_
        $(DELETE) $@
        $(XILINX_TOOLS_PREFIX)xst -ifn xst_hf.scr
 
-fpga_lf.ngc: fpga_lf.v fpga.ucf xst_lf.scr util.v clk_divider.v lo_edge_detect.v lo_read.v lo_passthru.v
+fpga_lf.ngc: fpga_lf.v fpga.ucf xst_lf.scr util.v clk_divider.v lo_edge_detect.v lo_read.v lo_passthru.v lp20khz_1MSa_iir_filter.v min_max_tracker.v lf_edge_detect.v
        $(DELETE) $@
        $(XILINX_TOOLS_PREFIX)xst -ifn xst_lf.scr
 
index d9c7515ea737c6ae3bcddf7d21fc3c9ed2220795..e942921a8685731cbcf0e7bb6f86a11ea629dd0c 100644 (file)
Binary files a/fpga/fpga_lf.bit and b/fpga/fpga_lf.bit differ
index 819763759a2b6d49557a279e5e9090db7e3dd608..1b7a112766e6dfce2c9be9ad714b5faafecbae86 100644 (file)
@@ -1,13 +1,4 @@
 //-----------------------------------------------------------------------------
-// The FPGA is responsible for interfacing between the A/D, the coil drivers,
-// and the ARM. In the low-frequency modes it passes the data straight
-// through, so that the ARM gets raw A/D samples over the SSP. In the high-
-// frequency modes, the FPGA might perform some demodulation first, to
-// reduce the amount of data that we must send to the ARM.
-//
-// I am not really an FPGA/ASIC designer, so I am sure that a lot of this
-// could be improved.
-//
 // Jonathan Westhues, March 2006
 // iZsh <izsh at fail0verflow.com>, June 2014
 //-----------------------------------------------------------------------------
@@ -39,15 +30,20 @@ module fpga_lf(
 reg [15:0] shift_reg;
 reg [7:0] divisor;
 reg [7:0] conf_word;
+reg [7:0] user_byte1;
 
-// We switch modes between transmitting to the 13.56 MHz tag and receiving
-// from it, which means that we must make sure that we can do so without
-// glitching, or else we will glitch the transmitted carrier.
 always @(posedge ncs)
 begin
        case(shift_reg[15:12])
-               4'b0001: conf_word <= shift_reg[7:0];           // FPGA_CMD_SET_CONFREG
-               4'b0010: divisor <= shift_reg[7:0];             // FPGA_CMD_SET_DIVISOR
+               4'b0001:
+                       begin
+                               conf_word <= shift_reg[7:0];
+                               if (shift_reg[7:0] == 8'b00000001) begin // LF edge detect
+                                       user_byte1 <= 127; // default threshold
+                               end
+                       end
+               4'b0010: divisor <= shift_reg[7:0];                     // FPGA_CMD_SET_DIVISOR
+               4'b0011: user_byte1 <= shift_reg[7:0];          // FPGA_CMD_SET_USER_BYTE1
        endcase
 end
 
@@ -60,11 +56,12 @@ begin
        end
 end
 
-wire [2:0] major_mode;
-assign major_mode = conf_word[7:5];
+wire [2:0] major_mode = conf_word[7:5];
 
 // For the low-frequency configuration:
 wire lf_field = conf_word[0];
+wire lf_ed_toggle_mode = conf_word[1]; // for lo_edge_detect
+wire [7:0] lf_ed_threshold = user_byte1;
 
 //-----------------------------------------------------------------------------
 // And then we instantiate the modules corresponding to each of the FPGA's
@@ -93,13 +90,14 @@ lo_passthru lp(
 );
 
 lo_edge_detect le(
-       pck0, pck_cnt, pck_divclk,
+       pck0, pck_divclk,
        le_pwr_lo, le_pwr_hi, le_pwr_oe1, le_pwr_oe2, le_pwr_oe3, le_pwr_oe4,
        adc_d, le_adc_clk,
        le_ssp_frame, ssp_dout, le_ssp_clk,
        cross_lo,
        le_dbg,
-       lf_field
+       lf_field,
+       lf_ed_toggle_mode, lf_ed_threshold
 );
 
 // Major modes:
@@ -108,7 +106,7 @@ lo_edge_detect le(
 //   010 --  LF passthrough
 
 mux8 mux_ssp_clk               (major_mode, ssp_clk,   lr_ssp_clk,   le_ssp_clk,         1'b0,   1'b0, 1'b0, 1'b0, 1'b0, 1'b0);
-mux8 mux_ssp_din               (major_mode, ssp_din,   lr_ssp_din,         1'b0,         1'b0,   1'b0, 1'b0, 1'b0, 1'b0, 1'b0);
+mux8 mux_ssp_din               (major_mode, ssp_din,   lr_ssp_din,         1'b0,   lp_ssp_din,   1'b0, 1'b0, 1'b0, 1'b0, 1'b0);
 mux8 mux_ssp_frame             (major_mode, ssp_frame, lr_ssp_frame, le_ssp_frame,       1'b0,   1'b0, 1'b0, 1'b0, 1'b0, 1'b0); 
 mux8 mux_pwr_oe1               (major_mode, pwr_oe1,   lr_pwr_oe1,   le_pwr_oe1,   lp_pwr_oe1,   1'b0, 1'b0, 1'b0, 1'b0, 1'b0);
 mux8 mux_pwr_oe2               (major_mode, pwr_oe2,   lr_pwr_oe2,   le_pwr_oe2,   lp_pwr_oe2,   1'b0, 1'b0, 1'b0, 1'b0, 1'b0); 
diff --git a/fpga/lf_edge_detect.v b/fpga/lf_edge_detect.v
new file mode 100644 (file)
index 0000000..573adf7
--- /dev/null
@@ -0,0 +1,77 @@
+//-----------------------------------------------------------------------------
+// Copyright (C) 2014 iZsh <izsh at fail0verflow.com>
+//
+// This code is licensed to you under the terms of the GNU GPL, version 2 or,
+// at your option, any later version. See the LICENSE.txt file for the text of
+// the license.
+//-----------------------------------------------------------------------------
+// input clk is 24Mhz
+`include "min_max_tracker.v"
+
+module lf_edge_detect(input clk, input [7:0] adc_d, input [7:0] lf_ed_threshold,
+       output [7:0] max, output [7:0] min,
+       output [7:0] high_threshold, output [7:0] highz_threshold,
+       output [7:0] lowz_threshold, output [7:0] low_threshold,
+       output edge_state, output edge_toggle);
+
+       min_max_tracker tracker(clk, adc_d, lf_ed_threshold, min, max);
+
+       // auto-tune
+       assign high_threshold = (max + min) / 2 + (max - min) / 4;
+       assign highz_threshold = (max + min) / 2 + (max - min) / 8;
+       assign lowz_threshold = (max + min) / 2 - (max - min) / 8;
+       assign low_threshold = (max + min) / 2 - (max - min) / 4;
+
+       // heuristic to see if it makes sense to try to detect an edge
+       wire enabled =
+               (high_threshold > highz_threshold)
+               & (highz_threshold > lowz_threshold)
+               & (lowz_threshold > low_threshold)
+               & ((high_threshold - highz_threshold) > 8)
+               & ((highz_threshold - lowz_threshold) > 16)
+               & ((lowz_threshold - low_threshold) > 8);
+
+       // Toggle the output with hysteresis
+       // Set to high if the ADC value is above the threshold
+       // Set to low if the ADC value is below the threshold
+       reg is_high = 0;
+       reg is_low = 0;
+       reg is_zero = 0;
+       reg trigger_enabled = 1;
+       reg output_edge = 0;
+       reg output_state;
+
+       always @(posedge clk)
+       begin
+               is_high <= (adc_d >= high_threshold);
+               is_low <= (adc_d <= low_threshold);
+               is_zero <= ((adc_d > lowz_threshold) & (adc_d < highz_threshold));
+       end
+
+       // all edges detection
+       always @(posedge clk)
+       if (enabled) begin
+               // To enable detecting two consecutive peaks at the same level
+               // (low or high) we check whether or not we went back near 0 in-between.
+               // This extra check is necessary to prevent from noise artifacts
+               // around the threshold values.
+               if (trigger_enabled & (is_high | is_low)) begin
+                       output_edge <= ~output_edge;
+                       trigger_enabled <= 0;
+               end else
+                       trigger_enabled <= trigger_enabled | is_zero;
+       end
+
+       // edge states
+       always @(posedge clk)
+       if (enabled) begin
+               if (is_high)
+                       output_state <= 1'd1;
+               else if (is_low)
+                       output_state <= 1'd0;
+       end
+
+       assign edge_state = output_state;
+       assign edge_toggle = output_edge;
+
+endmodule
index 2856e5c6552b2d40b7c72a63827c98d7f26b6d04..dc97fc6f53ce419dd957536955bc0e0e2a5257a0 100644 (file)
@@ -1,21 +1,34 @@
 //-----------------------------------------------------------------------------
-// The way that we connect things in low-frequency simulation mode. In this
-// case just pass everything through to the ARM, which can bit-bang this
-// (because it is so slow).
+// Copyright (C) 2014 iZsh <izsh at fail0verflow.com>
 //
-// Jonathan Westhues, April 2006
-// iZsh <izsh at fail0verflow.com>, June 2014
+// This code is licensed to you under the terms of the GNU GPL, version 2 or,
+// at your option, any later version. See the LICENSE.txt file for the text of
+// the license.
 //-----------------------------------------------------------------------------
+//
+// There are two modes:
+// - lf_ed_toggle_mode == 0: the output is set low (resp. high) when a low
+//   (resp. high) edge/peak is detected, with hysteresis
+// - lf_ed_toggle_mode == 1: the output is toggling whenever an edge/peak
+//   is detected.
+//   That way you can detect two consecutive edges/peaks at the same level (L/H)
+//
+// Output:
+// - ssp_frame (wired to TIOA1 on the arm) for the edge detection/state
+// - ssp_clk: cross_lo
+`include "lp20khz_1MSa_iir_filter.v"
+`include "lf_edge_detect.v"
 
 module lo_edge_detect(
-       input pck0, input [7:0] pck_cnt, input pck_divclk,
-       output pwr_lo, output pwr_hi,
-       output pwr_oe1, output pwr_oe2, output pwr_oe3, output pwr_oe4,
-       input [7:0] adc_d, output adc_clk,
-       output ssp_frame, input ssp_dout, output ssp_clk,
-       input cross_lo,
-       output dbg,
-       input lf_field
+    input pck0, input pck_divclk,
+    output pwr_lo, output pwr_hi,
+    output pwr_oe1, output pwr_oe2, output pwr_oe3, output pwr_oe4,
+    input [7:0] adc_d, output adc_clk,
+    output ssp_frame, input ssp_dout, output ssp_clk,
+    input cross_lo,
+    output dbg,
+    input lf_field,
+    input lf_ed_toggle_mode, input [7:0] lf_ed_threshold
 );
 
 wire tag_modulation = ssp_dout & !lf_field;
@@ -29,34 +42,25 @@ assign pwr_oe4 = tag_modulation;
 assign ssp_clk = cross_lo;
 assign pwr_lo = reader_modulation;
 assign pwr_hi = 1'b0;
-assign dbg = ssp_frame;
-
-assign adc_clk = ~pck_divclk;
-
-// Toggle the output with hysteresis
-//  Set to high if the ADC value is above 200
-//  Set to low if the ADC value is below 64
-reg is_high;
-reg is_low;
-reg output_state;
-
-always @(posedge pck0)
-begin
-       if((pck_cnt == 8'd7) && !pck_divclk) begin
-               is_high = (adc_d >= 8'd190);
-               is_low = (adc_d <= 8'd70);
-       end
-end
-
-always @(posedge is_high or posedge is_low)
-begin
-       if(is_high)
-               output_state <= 1'd1;
-       else if(is_low)
-               output_state <= 1'd0;
-end
-
-assign ssp_frame = output_state;
+
+// filter the ADC values
+wire data_rdy;
+wire [7:0] adc_filtered;
+assign adc_clk = pck0;
+lp20khz_1MSa_iir_filter adc_filter(pck0, adc_d, data_rdy, adc_filtered);
+
+// detect edges
+wire [7:0] high_threshold, highz_threshold, lowz_threshold, low_threshold;
+wire [7:0] max, min;
+wire edge_state, edge_toggle;
+lf_edge_detect lf_ed(pck0, adc_filtered, lf_ed_threshold,
+       max, min,
+       high_threshold, highz_threshold, lowz_threshold, low_threshold,
+       edge_state, edge_toggle);
+
+assign dbg = lf_ed_toggle_mode ? edge_toggle : edge_state;
+
+assign ssp_frame = lf_ed_toggle_mode ? edge_toggle : edge_state;
 
 endmodule
 
diff --git a/fpga/lp20khz_1MSa_iir_filter.v b/fpga/lp20khz_1MSa_iir_filter.v
new file mode 100644 (file)
index 0000000..a227a90
--- /dev/null
@@ -0,0 +1,81 @@
+//-----------------------------------------------------------------------------
+// Copyright (C) 2014 iZsh <izsh at fail0verflow.com>
+//
+// This code is licensed to you under the terms of the GNU GPL, version 2 or,
+// at your option, any later version. See the LICENSE.txt file for the text of
+// the license.
+//-----------------------------------------------------------------------------
+// Butterworth low pass IIR filter
+// input: 8bit ADC signal, 1MS/s
+// output: 8bit value, Fc=20khz
+//
+// coef: (using http://www-users.cs.york.ac.uk/~fisher/mkfilter/trad.html)
+// Recurrence relation:
+// y[n] = (  1 * x[n- 2])
+//      + (  2 * x[n- 1])
+//      + (  1 * x[n- 0])
+
+//      + ( -0.8371816513 * y[n- 2])
+//      + (  1.8226949252 * y[n- 1])
+//
+// therefore:
+// a = [1,2,1]
+// b = [-0.8371816513, 1.8226949252]
+// b is approximated to b = [-0xd6/0x100, 0x1d3 / 0x100] (for optimization)
+// gain = 2.761139367e2
+//
+// See details about its design see
+// https://fail0verflow.com/blog/2014/proxmark3-fpga-iir-filter.html
+module lp20khz_1MSa_iir_filter(input clk, input [7:0] adc_d, output rdy, output [7:0] out);
+
+       // clk is 24Mhz, the IIR filter is designed for 1MS/s
+       // hence we need to divide it by 24
+       // using a shift register takes less area than a counter
+       reg [23:0] cnt = 1;
+       assign rdy = cnt[0];
+       always @(posedge clk)
+               cnt <= {cnt[22:0], cnt[23]};            
+
+       reg [7:0] x0 = 0;
+       reg [7:0] x1 = 0;
+       reg [16:0] y0 = 0;
+       reg [16:0] y1 = 0;
+
+       always @(posedge clk)
+       begin
+               if (rdy)
+               begin
+                       x0 <= x1;
+                       x1 <= adc_d;
+                       y0 <= y1;
+                       y1 <=
+                               // center the signal:
+                               // input range is [0; 255]
+                               // We want "128" to be at the center of the 17bit register
+                               // (128+z)*gain = 17bit center
+                               // z = (1<<16)/gain - 128 = 109
+                               // We could use 9bit x registers for that, but that would be
+                               // a waste, let's just add the constant during the computation
+                               // (x0+109) + 2*(x1+109) + (x2+109) = x0 + 2*x1 + x2 + 436
+                               x0 + {x1, 1'b0} + adc_d + 436
+                               // we want "- y0 * 0xd6 / 0x100" using only shift and add
+                               // 0xd6 == 0b11010110
+                               // so *0xd6/0x100 is equivalent to
+                               // ((x << 1) + (x << 2) + (x << 4) + (x << 6) + (x << 7)) >> 8
+                               // which is also equivalent to
+                               // (x >> 7) + (x >> 6) + (x >> 4) + (x >> 2) + (x >> 1)
+                               - ((y0 >> 7) + (y0 >> 6) + (y0 >> 4) + (y0 >> 2) + (y0 >> 1)) // - y0 * 0xd6 / 0x100
+                               // we want "+ y1 * 0x1d3 / 0x100"
+                               // 0x1d3 == 0b111010011
+                               // so this is equivalent to
+                               // ((x << 0) + (x << 1) + (x << 4) + (x << 6) + (x << 7) + (x << 8)) >> 8
+                               // which is also equivalent to
+                               // (x >> 8) + (x >> 7) + (x >> 4) + (x >> 2) + (x >> 1) + (x >> 0)
+                               + ((y1 >> 8) + (y1 >> 7) + (y1 >> 4) + (y1 >> 2) + (y1 >> 1) + y1);
+               end
+       end
+
+       // output: reduce to 8bit
+       assign out = y1[16:9];
+
+endmodule
diff --git a/fpga/min_max_tracker.v b/fpga/min_max_tracker.v
new file mode 100644 (file)
index 0000000..516f0d6
--- /dev/null
@@ -0,0 +1,65 @@
+//-----------------------------------------------------------------------------
+// Copyright (C) 2014 iZsh <izsh at fail0verflow.com>
+//
+// This code is licensed to you under the terms of the GNU GPL, version 2 or,
+// at your option, any later version. See the LICENSE.txt file for the text of
+// the license.
+//-----------------------------------------------------------------------------
+// track min and max peak values (envelope follower)
+//
+// NB: the min value (resp. max value) is updated only when the next high peak
+// (resp. low peak) is reached/detected, since you can't know it isn't a
+// local minima (resp. maxima) until then.
+// This also means the peaks are detected with an unpredictable delay.
+// This algorithm can't therefore be used directly for realtime peak detections,
+// but it can be used as a simple envelope follower.
+module min_max_tracker(input clk, input [7:0] adc_d, input [7:0] threshold,
+       output [7:0] min, output [7:0] max);
+
+       reg [7:0] min_val = 255;
+       reg [7:0] max_val = 0;
+       reg [7:0] cur_min_val = 255;
+       reg [7:0] cur_max_val = 0;
+       reg [1:0] state = 0;
+
+       always @(posedge clk)
+       begin
+               case (state)
+               0:
+                       begin
+                               if (cur_max_val >= ({1'b0, adc_d} + threshold))
+                                       state <= 2;
+                               else if (adc_d >= ({1'b0, cur_min_val} + threshold))
+                                       state <= 1;
+                               if (cur_max_val <= adc_d)
+                                       cur_max_val <= adc_d;
+                               else if (adc_d <= cur_min_val)
+                                       cur_min_val <= adc_d;                                   
+                       end
+               1:
+                       begin
+                               if (cur_max_val <= adc_d)
+                                       cur_max_val <= adc_d;
+                               else if (({1'b0, adc_d} + threshold) <= cur_max_val) begin
+                                       state <= 2;
+                                       cur_min_val <= adc_d;
+                                       max_val <= cur_max_val;
+                               end
+                       end
+               2:
+                       begin
+                               if (adc_d <= cur_min_val)
+                                       cur_min_val <= adc_d;                                   
+                               else if (adc_d >= ({1'b0, cur_min_val} + threshold)) begin
+                                       state <= 1;
+                                       cur_max_val <= adc_d;
+                                       min_val <= cur_min_val;
+                               end
+                       end
+               endcase
+       end
+
+       assign min = min_val;
+       assign max = max_val;
+
+endmodule
diff --git a/fpga/tests/Makefile b/fpga/tests/Makefile
new file mode 100644 (file)
index 0000000..7556046
--- /dev/null
@@ -0,0 +1,87 @@
+#-----------------------------------------------------------------------------
+# Copyright (C) 2014 iZsh <izsh at fail0verflow.com>
+#
+# This code is licensed to you under the terms of the GNU GPL, version 2 or,
+# at your option, any later version. See the LICENSE.txt file for the text of
+# the license.
+#-----------------------------------------------------------------------------
+
+TEST_OUTDIR = tb_tmp
+
+TB_SOURCES = \
+       tb_lp20khz_1MSa_iir_filter.v \
+       tb_min_max_tracker.v \
+       tb_lf_edge_detect.v
+
+TBS = $(TB_SOURCES:.v=.vvp)
+
+TB_DATA = \
+       pcf7931_write1byte_1MSA_data \
+       pcf7931_read_1MSA_data
+
+all: $(TBS) tests
+
+%.vvp: %.v
+       iverilog -I .. -o $@ $<
+
+clean:
+       rm -rf *.vvp $(TEST_OUTDIR)
+
+tests: tb_lp20khz_1MSa_iir_filter tb_min_max_tracker tb_lf_edge_detect
+
+tb_lp20khz_1MSa_iir_filter: tb_lp20khz_1MSa_iir_filter.vvp | test_dir
+       @printf "Testing $@\n"
+       @for d in $(TB_DATA); do \
+               $(call run_test,$@.vvp,$$d,in); \
+               $(call check_golden,$$d,filtered); \
+       done; \
+       rm -f $(TEST_OUTDIR)/data.*
+
+tb_min_max_tracker: tb_min_max_tracker.vvp | test_dir
+       @printf "Testing $@\n"
+       @for d in $(TB_DATA); do \
+               $(call run_test,$@.vvp,$$d,in filtered.gold); \
+               $(call check_golden,$$d,min); \
+               $(call check_golden,$$d,max); \
+       done; \
+       rm -f $(TEST_OUTDIR)/data.*
+
+tb_lf_edge_detect: tb_lf_edge_detect.vvp | test_dir
+       @printf "Testing $@\n"
+       @for d in $(TB_DATA); do \
+               $(call run_test,$@.vvp,$$d,in filtered.gold); \
+               $(call check_golden,$$d,min); \
+               $(call check_golden,$$d,max); \
+               $(call check_golden,$$d,state); \
+               $(call check_golden,$$d,toggle); \
+               $(call check_golden,$$d,high); \
+               $(call check_golden,$$d,highz); \
+               $(call check_golden,$$d,lowz); \
+               $(call check_golden,$$d,low); \
+       done; \
+       rm -f $(TEST_OUTDIR)/data.*
+
+test_dir:
+       @if [ ! -d $(TEST_OUTDIR) ] ; then mkdir $(TEST_OUTDIR) ; fi
+
+.PHONY: all clean 
+
+# $(1) = basename
+# $(2) = extension to check
+check_golden = \
+       printf "        Checking $(1).$(2)... "; \
+       mv $(TEST_OUTDIR)/data.$(2) $(TEST_OUTDIR)/$(1).$(2); \
+       if cmp -s tb_data/$(1).$(2).gold $(TEST_OUTDIR)/$(1).$(2); then \
+               printf "OK\n"; \
+       else \
+               printf "ERROR\n"; \
+       fi
+
+# $(1) = vvp file
+# $(2) = data basename
+# $(3) = data extensions to copy
+run_test = \
+       env echo "    With $(2)... "; \
+       cp tb_data/$(2).time $(TEST_OUTDIR); \
+       for e in $(3); do cp tb_data/$(2).$$e $(TEST_OUTDIR)/data.$$e; done; \
+       ./$(1)
diff --git a/fpga/tests/plot_edgedetect.py b/fpga/tests/plot_edgedetect.py
new file mode 100755 (executable)
index 0000000..4e244eb
--- /dev/null
@@ -0,0 +1,58 @@
+#!/usr/bin/env python
+#-----------------------------------------------------------------------------
+# Copyright (C) 2014 iZsh <izsh at fail0verflow.com>
+#
+# This code is licensed to you under the terms of the GNU GPL, version 2 or,
+# at your option, any later version. See the LICENSE.txt file for the text of
+# the license.
+#-----------------------------------------------------------------------------
+import numpy
+import matplotlib.pyplot as plt
+import sys
+
+if len(sys.argv) != 2:
+       print "Usage: %s <basename>" % sys.argv[0]
+       sys.exit(1)
+
+BASENAME = sys.argv[1]
+
+nx = numpy.fromfile(BASENAME + ".time")
+
+def plot_time(dat1):
+    plt.plot(nx, dat1)
+
+sig = open(BASENAME + ".filtered").read()
+sig = map(lambda x: ord(x), sig)
+
+min_vals = open(BASENAME + ".min").read()
+min_vals = map(lambda x: ord(x), min_vals)
+
+max_vals = open(BASENAME + ".max").read()
+max_vals = map(lambda x: ord(x), max_vals)
+
+states = open(BASENAME + ".state").read()
+states = map(lambda x: ord(x) * 10 + 65, states)
+
+toggles = open(BASENAME+ ".toggle").read()
+toggles = map(lambda x: ord(x) * 10 + 80, toggles)
+
+high = open(BASENAME + ".high").read()
+high = map(lambda x: ord(x), high)
+highz = open(BASENAME + ".highz").read()
+highz = map(lambda x: ord(x), highz)
+lowz = open(BASENAME + ".lowz").read()
+lowz = map(lambda x: ord(x), lowz)
+low = open(BASENAME + ".low").read()
+low = map(lambda x: ord(x), low)
+
+plot_time(sig)
+plot_time(min_vals)
+plot_time(max_vals)
+plot_time(states)
+plot_time(toggles)
+plot_time(high)
+plot_time(highz)
+plot_time(lowz)
+plot_time(low)
+
+plt.show()
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.filtered.gold b/fpga/tests/tb_data/pcf7931_read_1MSA_data.filtered.gold
new file mode 100644 (file)
index 0000000..7851db9
--- /dev/null
@@ -0,0 +1 @@
+\ 1\ 3\a\f\12\19 (/7?GNU\cioty~\82\86\8a\8d\90\93\96\98\9a\9b\9d\9e\9f ¡¢¢££¤¤¤¤¤¤¤¤¤¤¤££££££££¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¤¦©¬°´¸»¿ÃÇËÎÑÕØÛÝàâäæèéëìíîïððñòòòóóóóóóôôôôôóóóóóóóóóóóóóóóóóòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòòññññññññððððððïïîîîîíííìëëëëêêéèèççççæååääãããâááààßßßÞÝÝÜÜÛÛÛÚÙÙØØ×××ÖÕÕÔÔÔÓÓÒÒÑÐÐÐÐÏÏÎÎÍÍÍÌÌÌËÊÊÊÉÉÉÉÈÇÇÇÇÆÆÆÅÅÄÄÄÄÄÃÃÂÂÂÂÁÁÁÀÀ¿¿¿¿¿¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸·······¶¶¶µµµµµµ´´´´´´´³³³²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªªªª©©©ªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££¤£££££££££££¢£££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££££¢¢¢£££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡  ¡¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡      ¡¡      ¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡     ¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡                      ¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡                                             ¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡      ¡¡             ¡¡¡     ¡¡¡      ¡       ¡¡      ¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡    ¡¡¡      ¡¡     ¡¡¡      ¡¡     ¡¡¡     ¡¡¡     ¡¡¡      ¡      ¡¡¡     ¡¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡    ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡     ¡       ¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡      ¡¡     ¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡      ¡¡     ¡¡¡¡   ¡¡¡¡      ¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡      ¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡                       ¡¡     ¡¡¡     ¡¡¡              ¡¡     ¡¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡      ¡               ¡¡      ¡¡                      ¡¡                                              ¡       ¡       ¡               ¡¡     ¡¡¡     ¡¡¡                                                     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡     ¡¡¡                                                      ¡¡      ¡¡                     ¡¡¡     ¡¡¡     ¡¡¡      ¡¡              ¡       ¡       ¡¡                              ¡¡     ¡¡¡     ¡¡¡      ¡¡                     ¡¡¡                                             ¡¡¡     ¡¡¡      ¡¡     ¡¡¡      ¡               ¡¡                                                      ¡¡    ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡     ¡¡¡      ¡                                              ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡¡    ¡¡¡                              ¡¡    ¡¡¡¡¡   ¡¡¡¡     ¡¡¡      ¡                                       ¡¡     ¡¡¡¡   ¡¡¡¡¡    ¡¡¡                                                                                                                      ¡¡      ¡¡                                                      ¡                                                                                                       ¡¡      ¡¡     ¡¡¡     ¡¡¡     ¡¡¡                                      ¡¡     ¡¡¡                                                                              ¡¡      ¡                               ¡¡      ¡¡                                                                                                                                                                                                                                                                                                                                                                                                                                      ¡      ¡¡¡                                  \9f               \9f                                                                                                                                                                                           ¡¡                                                              ¡¡                     ¡¡¡                                      ¡¡     ¡¡¡     ¡¡¡                                                                                                                                                                                                                                                                                                                                  \9f       \9f                                                                              \9f\9f\9f     \9f\9f                                                                       \9f       \9f                                                                                                                       \9f\9f     \9f\9f\9f      \9f                                                                                                                                                                                               \9f                                                                                                                      \9f\9f\9f     \9f\9f\9f                                                                              \9f                       \9f       \9f      \9f\9f\9f     \9f\9f                                                       \9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f       \9f       \9f                                       \9f                                                               \9f       \9f                               \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f                                              \9f\9f\9f     \9f\9f\9f      \9f                                                                                      \9f\9f\9f     \9f\9f\9f      \9f                                                                                                                               \9f       \9f       \9f       \9f              \9f\9f\9f     \9f\9f\9f     \9f\9f                       \9f                                       \9f       \9f       \9f               \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f              \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f      \9f      \9f\9f\9f      \9f               \9f\9f     \9f\9f                       \9f      \9f\9f       \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f                                                      \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f                       \9f       \9f       \9f      \9f\9f      \9f\9f                              \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f      \9f       \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f              \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f      \9f                       \9f              \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f      \9f                         ¡¢¢£¤¥§©¬¯²¶¹½ÁÄÈËÏÒÕØÛÝßâäæçéêìíîïïðññòòòòòòòòññððïîíìëéèçåäâáßÞÜÛÙØÖÔÓÒÑÐÎÍÌËÊÉÈÇÇÆÅÄÃÃÃÂÂÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµ´´´³³³³³³²²±±±±±±±°°°°°°¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤£¤¤¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡      ¡       ¡¡      ¡¡                                                                          \9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9e\9e\9d\9c\9c\9b\99\98\96\94\92\90\8e\8b\89\86\83\80}zwtqmjgeb_][YWUTRQPONNMMMMMMMNOPPQRSUVXY[\]_`bdeghijlmopqrstuvwxxyyzz{||}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f ¡¢¤¦§©«®±´¸»¿ÂÆÊÍÐÓÖÙÜÞáãåçèêëìíîïðñññòòòòòòòññðïïîíìêéçæåäâáßÝÛÚÙ×ÖÕÓÑÐÏÎÍÌËÉÈÇÆÆÅÅÄÃÂÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»ºººº¹¹¹¹¸¸¸¸····¶¶¶µµµµµ´´´´´´³³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤££££££££££££££££££¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡                                                  \9f      \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9e\9e\9d\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8a\88\85\82\7f|yurolifca^\ZXVTRQPONMMLLLLLLLMNOPQRSTVWYZ\]_`bdeghiklmopqrstuvwxxyyz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  ¢£¥§¨ª¬¯²µ¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòñððïîíìëêèçæäãâàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬«««««««ªª«««ªªªªªªªªª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡      ¡¡                                                          \9f      \9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\99\97\95\93\91\8f\8d\8a\87\85\82\7f|xurolifc`^\YWVTRQPONMMLLLLLLMNNOPQRTUWXZ[]^`acefhijkmnpqrstuvwxxyzz{{||}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f    \9f\9f\9f\9f     \9f\9f                                               ¡£¤¦§©«­¯²¶¹¼ÀÄÇÊÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòññðïïîíìêéèæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤££££££££££££££££¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡      ¡                                   \9f      \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9d\9d\9c\9b\9a\99\97\95\93\91\8f\8d\8a\87\85\82\7f|xurolifc`^\YWVTRQPONMMMLLLLMMNOPQRSUVXZ[]^`acefhiklmopqsttuvwxyz{{||}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\93\93\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f     \9f\9f      \9f\9f                                           ¡               ¡     ¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢£¤¦§©«¬®±´·º¾ÁÅÈËÏÒÕØÚÝßáäåçéêëíîîïðñññòòòòòòòññðïïîíìêéçæåãâàßÝÛÚÙ×ÖÕÓÑÐÏÎÍÌËÊÈÈÇÆÆÅÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»ººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©ªªª©©©©©©©©¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¢¡ \9e\9c\9a\98\95\93\90\8d\8b\88\84\81~{xtqnkheb`][YWVTSQQPPPPOOPPQRSTUVWYZ\]_`acdfgijlmnoprstuvvwxyz{{|||}~~~\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e ¢£¥§ª­±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððññññññññððïîíìëêèçåäâáßÞÜÚÙ×ÕÔÓÑÐÎÍÌËÊÉÈÇÅÄÄÃÂÂÁÁÀ¿¿¿¿¿¾¾¾½½½½½½½½¼¼½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»ºººº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´³³³³³³²²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª«««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤££££££££££££££££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡      ¡                                                                           \9f\9f\9e\9e\9d\9c\9b\9a\99\97\95\93\91\8f\8d\8a\87\84\82~{xurnkhec`^[YWUTRQOONMMMMMMMMNOPQRSTUWYZ\^_`bdfgijlmnpqstuuvwxyz{|||}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f     \9f                   ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¥§¨ª«­¯±´·»¾ÁÅÈÌÏÒÕØÚÝßáäåçéêëìîîïðñññòòòòòòòññððïîíìëéèçæäãáàÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÅÄÄÄÄÃÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÁÀÀÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶µµµ´´´´´´³³³³²²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§¨¨§§§§§§§§§§¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤££££££££££££££££££££££££££¢¢£££££¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9b\99\98\96\94\91\8f\8d\8a\87\85\82\7f|xurolifc`^\YWVTRQPONNNMMMMMNOPQRSTUWXZ\]_`bceghjkmnoqrtuvwwyz{{|}}~~\7f\80\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\87\88\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                          ¡¡     ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢£££££¢¢£££££££££££££££££££££££££££££££££££££££££££¤¤££¤¤¦§©«¬®°²µ¸»¾ÂÅÉÌÏÒÕØÛÝßâäæçéêëíîîïðñññòòòòóòòòññððïîíëêéèæåäâàßÝÜÛÙØ×ÕÔÓÑÑÐÏÍÌËÊÊÉÉÈÇÇÆÆÆÅÅÅÄÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾¾¾½½½½¼¼¼»»»»ººº¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤¤£££££¤££££££££££££££££££¢¢¢£££££¢¢¢¢££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢£££¢¢¢¢££££¢¢¢£££££¢¢¢¢£££¢¢¢¡¡¡ \9f\9e\9d\9c\9a\99\97\95\93\90\8e\8b\89\86\83\80}zvspmjgda_]ZYWUSRQPOOOOONNOOPQRSTUVXY[]^`abdfhiklmnpqstuvwxyz{|}}~~\7f\7f\80\80\81\81\81\81\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\93\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f                                  ¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢££££££¢¢££££££££££££££££££££££££££££££££££££££££¤¦§©ª¬®°²µ¸»¾ÂÅÉÌÏÒÕØÛÝßâäæçéêëíîîïðñññòòòòòòòòññððïîíëêéèçåäâàßÞÜÛÚØ×ÕÔÓÒÑÐÏÎÍÌËËÊÉÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¾¾¾½½½¼¼¼¼»»ºººººº¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´´³³²²²²²²²±±±±±±±±±°°±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­­®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©ªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢¡  \9f\9e\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|xurokhec`^[YWVTRQPONNNNMMMMNOPPQRSTVXY[\^_abdfhiklmoprstuvwxyz{||}}~\7f\7f\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f          ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££££¢¢¢£££££¢¢££££££££££££££££££££££¢¢¢£££££¢¢¢£££££££¤¥§©ª¬­¯²´·»¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòññððïîîìëêéèæåäâàßÞÜÛÚØ×ÕÔÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÆÆÆÆÅÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÃÂÂÂÂÂÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµµ´´´´´´´´³³³²³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬­­­¬¬¬¬¬¬¬¬¬«««ªª©©¨§¥¤¢ \9e\9c\99\97\94\91\8e\8b\88\85\81~zwspmjgda_][YWUTSRQQPPPPPPQRSTUVWXZ[]^`abdfgijlmnoqrsuvwwxyz{|}}}~~\7f\80\80\80\81\81\81\81\82\82\82\82\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f¡¢¤¦¨ª®±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌÊÉÈÈÇÇÆÅÅÄÃÃÃÃÃÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸¸······¶¶µµµµµµµ´´´´´´³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««««««««««ªªªªª«ªªªªªªªªªªª©ªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤£££££¤¤¤£££¢¢¢¡ \9f\9e\9d\9b\99\98\95\93\91\8e\8b\89\86\83\80}yvspmjgda_\ZXWUSRQPOOONNNNNOPPQRSTUWYZ\]_`bceghjklnoqrstuvwxyz{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f                           ¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢£££££¢¢¢£££££¢¢££££££££¤¥§¨ª¬­¯²´·»¾ÁÅÈÌÏÒÕØÚÝßáäåçéêëìîîïðñññòòòòòòòñññððïîíëêéèçæäâáßÞÝÜÚÙ×ÖÔÓÒÑÐÏÎÍÌËËÊÊÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµ´´´´´´³³³³³³³³²²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­®­­­­¬¬­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££¤¤¤£££££££££££££££££££££££££££££££££££¢¢¢¡ \9f\9e\9d\9b\9a\98\96\93\91\8e\8c\89\86\83\80|yvrolifc`^\ZXVTSQPONNNMMMMMNNOPQRSTVWY[\]_`bdfgijkmnpqrtuuvwyzz{||}}~\7f\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f                          ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢£¤¥¦¨ª«­¯±´·º¾ÁÄÈËÎÒÕ×ÚÝßáãåçéêëìíîïðñññòòòòòòòññððïîíìëéèçæäãáàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÂÁÁÂÂÂÂÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµµµµµµ´´³³³³³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªª««ªªªªªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§¨¨¨§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤££££££¤£££££££££££££££¤¤££££££¤££££££££££££££££££££££££££££££££££££¢¢¡¡ \9f\9e\9d\9b\99\97\95\93\90\8e\8b\88\85\82\7f{xurnkheb`][YWUTRQPONNMMMMMMMNOPQRSTUWXZ[]^`bceghjklnoqrstuvwxyz{{||}~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\97\97\97\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f               \9f                          ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¦§©«¬®±³·º½ÁÄÈËÎÑÔ×ÚÝßáãåçèêëìíîïðñññòòòòòòòñððïïîíìëéèçæåãâàßÝÜÛÚØ×ÕÔÓÒÑÐÏÎÌËËÊÊÉÈÈÇÆÆÆÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼»»ººººº¹¹¸¸¸¸····¶¶¶¶µµµµ´´´´´´´³³³²²³²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªª©©¨§¦¥£¢ \9e\9c\99\96\94\91\8e\8b\88\84\81~{wtqnjgeb_][YXVTSRQQQQQPPQQRSTUVWXZ[]^`abdegijkmnoprstuvwxyzz{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9b\9d\9e ¢£¥§ª­°´¸¼¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòòñððïîîíëêéçæåãâàÞÝÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´´´´³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬««««««««««ªªªªªªªªª©©¨¨§¦¥¤¢¡\9f\9d\9a\98\95\93\90\8d\8a\87\83\80}yvrolifc`^\ZXVUSRQPPPOOOOPPQRSTUVWXZ\]_`acdfgijkmnoprstuuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\92\92\92\93\93\93\94\94\94\93\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§ª­°´·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòòñððïïîíìêéçæåäâáßÝÛÚÙ×ÖÕÓÑÐÏÎÍÌËÊÈÇÇÆÅÅÄÃÃÂÂÂÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼»»»»»»ººº¹¹¹¹¹¹¸¸¸¸····¶¶¶¶¶µµµ´´´´´´´³³³²²³²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬««««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££¢¢££££££¢¢¢££££££¢££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢£¢¢¢¢¡¡¡ \9f\9e\9d\9c\9a\98\96\94\92\90\8d\8a\88\85\82\7f|xurolifc`^\YXVTSQPONNNNMMMMNOPQRSTUVXY[]^_acdfhijlmnpqstuvwxyz{{||}}~\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f                                                          ¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¦§©«¬®±³¶º½ÁÄÇËÎÑÔ×ÚÝßáãåçèêëìíîïðñññòòòòòòòññððïîíìëéèçæåãâàÞÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±±±±±°°°¯¯¯°¯¯¯¯®®¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªª««ªªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¡¡¡ \9f\9e\9d\9c\9a\98\97\94\92\90\8d\8b\88\85\82\7f|xurolifca^\ZXVTSQPOONNNNMMNNOPQRSSUVXY[\^_abdfgijklnoqrstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\96\96\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f\9f     \9f\9f                                                          ¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¢£¤¦§©ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòññððïîíìëêèçæåãâàÞÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÉÉÈÇÇÆÅÅÅÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªª«ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤£££££¤¤£££££¤¤¤££££££££££££££££££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡  \9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{wtqnkheb_][YWUSRPONNMMMMLLLMNOPQQRSUWXZ[]^`acefhijlmopqsstuvwxyzz{{|}}~~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f    \9f\9f\9f                                  ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢£¤¦§©ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìêéèçåäãáßÝÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÊÉÉÈÈÇÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÂÁÁÁÂÂÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼¼»»»»»ºº¹¹¹¹¹¸¸······¶¶µµµµµµµ´´³³³³³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­¬¬­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©ªªªªª©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9c\9b\99\98\96\94\91\8f\8c\8a\87\84\81~{wtqnkheb_][YWUSRQOONNMMMMMMMNOPQRRTUWXZ[]^`acefhijlmopqsttuvwxyz{{||}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f                                           ¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¤¥§¨ª«­°³¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæåãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍËËÊÉÉÈÇÇÆÅÅÅÄÄÄÃÃÂÂÂÂÂÂÂÂÁÁÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½¼¼»»»»»ººº¹¹¹¹¹¸¸·····¶¶¶µµµµµµ´´³³³³³³³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯®®¯¯¯®®®®­®®®­­­¬¬¬­¬¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©¨¨§¦¥¤¢¡\9f\9d\9a\98\96\93\90\8d\8a\87\84\81}zwspmjgda_][YWVTSRQQQQPPPQRRSTUVWYZ\]_`acdfgijlmnopqstuvvwxyz{{||}}~~\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\83\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9c\9d\9f¡¢¤¦©¬°³·»¿ÃÆÊÍÑÔ×ÚÝßáäåçéêìíîïïðñññññòñññðïïîíìëéèæåäâáßÝÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÅÄÄÃÂÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½½¾¾½½½½½½½½½¼¼¼¼¼»»»»ºººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµµµµµ´´´³³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨©¨¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9c\9b\99\97\95\93\91\8f\8c\89\87\84\81}zwtpmjgdb_]ZXVUSRPONNMMMMLLLMNOPQQRTUWXZ[]^_acefhijlmnpqrstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\85\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                                                          ¡¡      ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¢£¥¦¨©«­¯²µ¸¼¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïððñññòòòòòññðïïîíìëéèçæäãâàÞÝÛÚÙ×ÖÔÓÒÐÏÏÎÍËÊÉÉÈÈÇÆÆÅÄÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÁÀÀÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½½¼¼¼¼»»»ºººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµµµ´´´³³³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡  \9f\9e\9d\9c\9b\99\98\96\94\91\8f\8c\89\87\84\81~zwtpmjgdb_]ZXVUSRPONNMMMMLLLMNOOPQRSUVXZ[]^_acefhijlmopqsttuvwxyz{{||}~~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                                  ¡¡¡      ¡       ¡¡  ¡¢£¤¦¨©«­¯²µ¸¼¿ÃÆÊÍÑÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáßÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌËÊÉÉÈÇÇÆÅÄÄÄÄÃÃÃÂÂÂÂÂÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤£££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9b\99\97\96\93\91\8f\8c\8a\87\84\81~{wtqnkheb_][YWUSRQOONNMMMMMMMNOPQRSTUWYZ\]_`bdegijkmnoqrstuvwxyz{{||}~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\83\84\84\84\83\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9f ¢¤¦©¬¯³·º¾ÂÆÊÍÑÔ×ÚÜßáãåçéêìíîïïðññññòòñññðïîîíìëéèæåãâàßÝÛÚØ×ÕÔÓÑÏÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½¾¾¾¾½½½½½½½½½½½½½½½¼¼¼»»»»»»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶µµµ´´´´´´´³³²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©©©©©©¨¨¨§§¦¦¥¤¢¡\9f\9e\9c\99\97\94\92\8f\8c\89\86\82\7f|xurnkheb`][YWVTSQPPOOOOOOOPPQRSTUVXY[\^_`bcefhijkmnoqrsttuvwxyyzzz{||}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f                                        ¡£¤¦§©«­¯²µ¸¼¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïððñññòòòòòññððïîíìëéèçæäãáàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÄÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªªªª©©ªªªª©©©¨¨§¦¦¤£¢ \9e\9c\9a\98\95\92\8f\8d\8a\86\83\80|yvrolifc`^\ZXVUSRQPPPPPPPPPQRSTUVWYZ\]_`acdfhiklmnoqrstuvvwxyz{{||}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯³¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëíîîïððñññññññððïîîíìëéèæåãâáßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼½½½¼¼¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¹¸¸¸¸····¶¶µµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªª««ªªªªªªªªª©©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤££¤¤¤¤££££££££££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   \9f\9f\9e\9e\9d\9c\9a\99\97\95\93\91\8e\8c\89\86\83\80}zwtpmjgdb_]ZXVUSRPONNMMMLLLLMMNOPQRSUVXY[\]_abdfghjklnoqrsstuwxxyzz{{|}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                                        ¡£¤¦§©ª¬¯²µ¸¼¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòññððïîíìëêèçæåãâàÞÝÛÚÙ×ÖÕÓÒÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼»»»»»ºººº¹¹¹¹¸¸¸¸····¶¶¶¶¶µµµ´´´´´´³³³²²²²²²±±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªª«««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££££££££££££££££££££££¢¢££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡     ¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   \9f\9f\9e\9d\9c\9b\9a\98\97\95\92\90\8e\8b\89\86\83\80}yvspmjgda_\ZXVTSQPONMMMMLLLLMNNOPQRSUVXY[\]_abdfgijkmnpqrstuvwxyyzz{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f                        ¡¢¤¥§©ª¬¯±µ¸»¿ÂÆÉÍÐÓÖÙÜÞàãåæèêëìíîïððñññòòòòòññðïïîíìêéèæåäâáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¿¾¾¾¾½½½½½½¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸····¶¶¶µµµµµ´´´´´´³³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££££££££££££££££££££££¢¢£££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡     ¡¡¡      ¡¡   \9f\9f\9f\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zwspmjgda_\ZXVTSQPONMMMMLLLLMNNOPQRSUVXY[\^_abdfghjklnoqrstuvwxyyzz{||}~~~~\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f                ¡¢¤¥§©ª¬¯±µ¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòññððïîíìëêèçæåãâàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÃÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿ÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨§§¦¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80}yvspmigda_][YWVTSRQQQPPPPPQRSTUVWXY[\^_`acdfhijklnopqsstuvwxxyzz{{||}}~~~~~\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\92\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\97\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëíîïïððñññññññððïîíìëêéçåäãáàÞÜÚÙ×ÖÕÓÒÐÎÍÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¿¾¾½½½½½½½½¼¼¼¼½½¼¼¼¼¼¼¼¼¼»»»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸·····¶¶¶µµµµµµ´´³³³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬«¬¬¬«««ªª«««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8b\88\85\82\7f{xuqnkheb`][YWUTRQPPOOOONNOOPQRSTUVWYZ\]^`acdfgijklnoprsstuvwxyyzz{{|}}}~~~~\7f\7f\7f\7f\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨«¯²¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëíîïïððñññññññðïîîíìëêèçåäâáßÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀ¿¿¿¿¿¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼»»¼¼»»»»ºººººº¹¹¹¹¸¸¸¸¸······¶¶¶µµµµµ´´´³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬«««««««ªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££££££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡      ¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡      ¡                           \9f\9f\9f\9e\9d\9d\9c\9b\99\98\96\94\92\90\8e\8b\89\86\83\80}zwtpmjgeb_][YWUTRQPONNNMMMMMMNOPQRRTUVXY[\]_abdeghiklnopqrstuvwxyyzz{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f ¡¢£¥§¨ª¬¯²µ¸¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòññððïîíìëéèçæäãáàÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌÊÉÈÈÇÆÆÅÄÄÃÃÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±±±°°°°¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©ª©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§§¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡                  \9f      \9f\9f\9f     \9f\9f\9f    \9f\9f\9f\9f\9f    \9f\9f\9f\9f\9e\9e\9d\9c\9b\9a\98\96\94\92\90\8e\8b\89\86\83\80}zwspmjgda_]ZXVUSRPONNMMLLLLLLMNOPQQSTVWYZ\]^`bceghijlmopqrstuvwxxyzz{||}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\81\80\80\80\81\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢£¥§¨ª¬¯²µ¹½ÀÄÇËÎÑÔ×ÚÝßáãåçéêëíîîïðñññòòòòòòññðïïîíìëéèæåäâáßÝÜÚÙØÖÕÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÃÃÂÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¿¿¾¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»»»ººº¹¹¹¹¸¸¸¸··¶¶¶¶¶µµµµ´´´´´³³³³³²²²±±±±±±±°°°¯¯°¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤££¤¤¤¤££££££££££¢££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡                          \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f\9e\9d\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifca^\ZXVTSQPONMMLLLLLLLMNOPQRSTVWYZ[]^`bcefhijkmnpqrstuvwxxyyz{{||}}}}~~\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¦©¬°´¸¼¿ÃÇËÎÒÕØÛÝàâäæèéëìíîïððññññññññðïîîíìëéèæåãâáßÝÜÚØ×ÕÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÃÂÂÁÀ¿¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»¼¼¼¼»»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³²²²²²±±±±±±±°°°¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\91\8e\8b\88\85\82\7f|xurolifc`^\ZXVUSRQPPPOOOOOPQRRSTUVXY[\]_`acdfgijklmopqrsttuvwxyyyzz{|||}}}}~~~~~~\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¦©¬°´¸¼ÀÃÇËÎÒÕØÛÝàâäæèéëìíîïððñññññññððïîíìëêéçåäâáàÞÜÛÙ×ÖÔÓÒÐÏÍÌËÊÉÈÇÆÄÃÃÂÂÁÀÀ¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»»»»»»»»»»»»»»»»»º»»»»»ºººººººº¹¹¸¸¸¸¸¸¸······¶¶µµµµµµ´´³³³³³³²²±±±±±±°°°¯¯¯°¯¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬««««ª«««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8b\88\84\81~{wtqmjgdb_][YWUTRQPOOOOONNOOPQRSTUVWYZ\]_`acdfgijklmopqrstuuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\98\99\9b\9c\9e ¢¤§ª­±µ¹½ÁÅÈÌÏÓÖÙÜÞáãåçèêëìíîïðñññññññññðïîîíìëéèæåãâáßÝÜÚØ×ÕÔÓÑÏÎÍËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµµ´´´´´´³³³³³²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¥¤¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~zwtpmjgda_\ZXWUSRQPOOONNNNNOPQQRSTUWXZ[]^_abdeghiklmnpqrsstuvwxxyyzz{{||||}}}~~~~~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\98\99\9a\9c\9e ¡¤¦©­±µ¹¼ÀÄÈÌÏÓÖÙÜÞáãåçèêëìíîïðññññññññððïîíìëêéèæäãâàßÝÛÙØÖÕÓÒÑÏÍÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾¾½½½¼½½½¼¼¼¼¼¼¼¼¼¼»»»»¼¼»»»»»»»»»ºººººº¹¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¥¤££¢ \9f\9d\9c\9a\97\95\93\90\8d\8a\87\84\81~{wtqnkheb_][YWVTSRQPPOOOOOOPQRSTUVWXZ[]^`abdeghjklmnoqrsttuvwxyyzzz{||}}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\98\99\9b\9c\9e ¢¤§ª®²µ¹½ÁÅÉÍÐÓ×ÙÜßáãåçéêìíîïïðññññòòñññðïîîíìëéèæåãâàßÝÛÚØÖÕÔÒÑÏÍÌËÊÉÈÇÆÄÃÃÂÂÁÀÀ¿¾¾¾½½½¼¼¼¼¼¼¼¼»»»»»»»»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸·······¶¶¶¶µµµµ´´´´´´³³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨©¨¨¨¨§§¨¨§§§§§§§§§§¦¦¦¥¥¤¤£¢ \9f\9d\9c\9a\97\95\92\90\8d\8a\87\84\81}zwspmjgda_\ZXVUSRQPOONNNNNNOPQQRSTVWXZ[]^_abdfghiklmnpqrsstuvwxxyyzz{{|||}}}~~~~~~\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\98\9a\9b\9d\9f¡£¥¨«¯²¶º¾ÂÆÊÍÑÔ×ÚÝßáäæçéêìíîïððñññññññññðïîîíìëéèæåãâáßÝÜÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÃÂÁÁÀ¿¿¾¾¾½½½¼¼¼¼¼¼¼¼»»»»»»»»»»»»»»»»ººººººººº¹¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³³²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦§§§¦¦¦¦¥¥¤¤£¢ \9f\9e\9c\9a\98\95\93\90\8e\8b\88\85\82~{xuqnkheb`][YXVTSRQPOOOOOOOPPQRSTUVXY[\^_`bcefhijklnopqrstuvvwxyyyzz{|||}}}}~~~~~~~\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\99\9a\9c\9e\9f¡£¥¨«¯³·»¾ÂÆÊÍÑÔ×ÚÝßáäæçéêìíîïïðññññññññððïîíìëêéçåäâáßÞÜÚØ×ÕÔÒÑÐÎÍËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾½½½½¼¼¼¼¼¼¼¼»»»»¼¼¼¼»»»»¼¼¼»»»»»»»»ºººººº¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³³²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­¬­­¬¬¬¬««¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§¦¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\97\95\92\90\8d\8a\87\84\81~zwtpmjgda_\ZXWUSRQPOOONNNNOOPQRSTUVXY[\^_`bdeghiklmnoqrsttuvwxyyzzz{||}}}}~~~\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨¬¯³·»¿ÂÆÊÍÑÔ×ÚÝßâäæçéêìíîïððñññññññññðïîîíìëéèæäãâàÞÝÛÙØÖÕÓÒÐÏÍÌËÊÉÈÇÆÄÃÃÂÂÁÁÀ¿¾¾¾¾½½½¼¼¼¼¼¼¼¼»»»»»¼»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸¸¸····¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©ªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦§§§¦¦¦¥¥¥¤££¢ \9f\9d\9b\99\97\95\92\90\8d\8a\87\84\81}zwspmjgda_\ZXVUSRQPOOONNNNOOPQRSTUVXY[]^_abdeghiklmnpqrsttuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9a\9b\9d\9f ¢¤¦©¬°³·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññññññññðïîîíìëéèæåãâàßÝÛÙØÖÕÓÒÑÏÍÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»ººººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨§§§§§§§§§§§¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~{xtqnkheb`][YWVTSRQPPPPPPPQRSTUVWXY[\^_`bcdfgijklnopqrstuvwwxyzz{{||}}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\97\97\97\97\98\98\98\98\98\98\99\9a\9b\9d\9f¡¢¤§©¬°´·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððññññòòñññðïîîíìêéèæäãâàßÝÛÙØÖÕÓÒÑÏÍÌËÊÉÈÇÆÄÄÃÂÂÁÁÀ¿¿¾¾¾¾¾½½¼¼¼½¼¼¼¼¼¼¼¼¼¼¼»»»¼¼¼¼»»»»»»»»ººº¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´³³³²²²²²²²±±±±±±±°°°°°°¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª«««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤££¢ \9f\9d\9c\9a\97\95\92\90\8d\8a\87\84\81}zwspmjgda_\ZXVUSRQPOOOOONOOPQRSTUVXY[\^_abceghjklmnpqrstuuvwxyyzz{{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¥§ª­°´¸¼¿ÃÇËÎÑÕØÚÝàâäæèéëìíîïððñññòòòòññðïîîíìëéèæåãâàßÝÛÙØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀ¿¿¿¿¾¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»ºººººº¹¹¹¹¹¹¹¸¸······¶¶¶µµµµµ´´³³³³³³²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­¬¬­­¬¬¬¬«««««««««ª«««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§¨§§§§¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~zwtpmjgdb_][YWUTRQPPPOOOOOPQRSTUVWXZ[]^`abdfgijklnopqstuuvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e ¢¤¥¨ª­±´¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññññòñññðïîíìëêéçæäãáàÞÜÚÙ×ÖÔÓÒÐÏÍÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¿¿¾¾¾½½¾¾¾½½½½½½½½½½¼¼½½½½½¼¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¸¸¸¸····¶¶¶¶µµµµ´´´³³³³³²²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬««¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨¨©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¥¤£¢¡\9f\9d\9b\99\97\95\92\8f\8d\8a\87\84\81}zwspmjgda_\ZXWUSRQPPPOOOOPPQRSTUVWY[\^_abcefhjklmnpqrsuuvwxyzz{|||}}~\7f\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\93\93\94\94\94\94\95\94\94\94\95\95\95\95\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9b\9d\9f ¢¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòññðïïîíìëéèæåãâàßÝÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÂÁÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³³²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©©©©©©¨¨¨§§¦¦¥¤£¡ \9e\9c\9a\98\95\93\90\8d\8a\87\84\81}zwspmjgda^\ZXVUSRQPPPPOOOPPQRSTUVXY[\^_abceghjklmopqstuvvwxyz{{||}}~~\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\83\83\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\95\95\95\95\95\95\95\96\96\95\96\96\96\96\96\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïîíìëêèçåäâáßÞÜÚÙ×ÖÕÓÒÐÏÍÌËËÊÈÇÆÆÅÅÄÃÃÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ª«««ªªªªªªªªª©©©©©©©©©¨¨¨§§¦¥¤£¢ \9f\9d\9a\98\96\93\90\8e\8b\88\84\81~{wtqmjgdb_][YXVUSRRQQQQQQQRSTUVWXYZ\^_`bcdfgijlmnoprstuvwxyz{{||}}~~\7f\7f\80\80\80\80\80\81\81\81\81\82\81\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9b\9c\9d\9f¡£¤¦¨«®±µ¹¼ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïîîíëêèçåäãáàÞÜÛÙØÖÕÔÒÐÏÎÍÌËÊÉÇÆÆÅÅÄÃÃÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸¸······¶¶¶µµµµµµ´´´´´´´³³³²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨§¦¦¥£¢¡\9f\9d\9b\98\96\93\90\8e\8b\87\84\81~zwspmjgda_][YWUTSRQQPPPPPPQRSTUVWXY[]^`abdeghjkmnoprstuvwxyz{{|}}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¹¼ÀÄÇËÎÒÕØÛÝàâäæçéêìíîïððñññòòòòòññðïîîíìêéçæåãâàßÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÆÅÅÄÃÂÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºººº¹¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´´³³³³³²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬««««««««ªªªªªªªªª©©©¨¨§§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8e\8b\88\84\81~zwspmjgda_][YWUTSRQQPPPPPPQRSTUVWXY[\^_abceghjkmnoprstuvwwxyz{||}}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\99\98\98\98\99\99\99\99\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÚÝàâäæçéêìíîïððñññòòòòññððïîíìëêèçåäãáàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÂÂÂÂÂÁÁÀÀÀÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿ÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼¼»»»ºººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµµµ´´´´³³³³³³³²²²²²²²²±±±±±±±°°°¯¯¯°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬««««ªªª««ªªª©©¨¨§¦¥¤£¡\9f\9d\9b\99\96\93\90\8e\8b\87\84\81~zwspmjgda_][YWVTSRQQQQPPPQQRSTUVWXZ\]_`acdfgiklmnoqrsuvvwxyz{||}}~~\7f\80\80\80\81\81\81\81\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f                           ¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢££££££¢¢£££££££¢££££££££££££££££££££££££££££££££££££££££¤¦§©ª¬­¯²µ¸»¾ÂÅÈÌÏÒÕØÚÝßâäåçéêëíîîïðñññòòòòòòòòññððïîíìëéèçæäãáàÞÝÜÚÙØÖÕÔÓÒÑÐÏÍÌÌËÊÊÉÈÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÄÃÃÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¾¾¾½½½½½¼¼¼»»»»ºººº¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµµµµµ´´´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬¬«««««««««ªª««««ªªªªªªªªª©©©©ªªª©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¦¦¥¥¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££¤¤££££££¤¤££££¢¢¡ \9f\9e\9d\9c\9a\98\96\93\91\8e\8c\89\86\83\80}zvspmjgda_][YWUTRQPOOOONNNOOPQRSTUVWY[\^_abdfgijlmnpqstuvwxyz{||}}~~\7f\80\80\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\98\98\98\97\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¥¦¨«®±µ¹¼ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòññððïîîíìêéçæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÃÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´´´´´³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬­­­¬¬¬¬¬¬¬¬¬«««««««««ªªª©©¨§¦¥£¢ \9e\9b\99\96\94\91\8e\8b\87\84\81}zwspmjgda_\ZYWUTRRQQPPPPPPQRSTUVWXY[]^`abdegijlmnoprstuvwxyz{{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\98\97\97\97\98\98\98\98\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f           ¡¡    ¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢£££££££££££££££¢££££££££££££££££¤¥§©ª¬­¯²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòóòòòññððïîíìêéèçæäãáàÞÝÜÛÙØÖÕÔÓÒÑÏÎÍÌËËÊÊÉÈÇÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¸¸¸······¶¶¶µµµµµµ´´´´´´³³³²²²²²²²²±±±±±±±±°°°°°°°°°¯¯¯°°¯¯¯®®®®®®®­­­­­­­­­¬¬¬­¬¬¬¬«¬¬¬¬¬«««««««««ªªª««««ªªªªªªªªª©©©©ªªª©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§¨¨¨§§§§§§§§§§§§§§§§§¦¦¦§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤££¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤££££¢¢¡ \9f\9e\9d\9b\9a\98\96\93\91\8e\8c\89\86\83\80|yvsolifca^\ZXVTSQPOONNNNMMNNOPQRSTUVXZ[]^`aceghjklnoqrsuvvwxyz{|}}~~\7f\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                                           ¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢¢££££¢£¤¥§¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòòññððïîíëêéèçæäãáàÞÝÜÛÙØÖÕÔÓÒÑÐÏÍÌÌËËÊÉÈÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÃÃÃÂÂÂÂÂÂÂÁÁÁÁÀÀÀÀÀÀ¿¿¿¿¾¾¾¾¾½½½½½¼¼¼»»»»»ººº¹¹¹¸¸¸¸··¶¶¶¶¶¶µµµµµµµ´´´³³³³³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««««««««ªªªªªªªªªªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨©¨¨¨¨¨¨¨¨¨¨§§§§¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤££££¤¤¤£££££¤¤¤£££££¤¤¤£££££¤¤¤£££££¤¤¤££££££¤¤££££££¤££££¢¢¢¡ \9f\9e\9d\9b\9a\98\96\93\91\8e\8c\89\86\83\80}zwspmjgdb_][YWUTRQPOOONNNNNOOPQRSTUWXZ[]^`acefhiklmoprstuvwxyz{{||}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f     \9f           ¡¡    ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡    ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¥¦¨ª«­¯±´·º¾ÁÄÈËÎÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòññððïïîíëêéèçåäâáßÞÜÛÚØ×ÕÔÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÂÂÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´´³³³³³³³³²²²²²²²±±±°°°°°°°°¯¯°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­­¬¬­­­¬¬¬««¬¬¬«««ªªª©¨§¦¥¤¢ \9e\9c\99\97\94\91\8e\8b\88\85\81~zwspmjgda_\ZXWUSRQPPPPPPOPPQRSTUVWYZ\]_`bcefhjklmnpqrtuvvwxyz{|||}}~\7f\7f\7f\7f\80\80\80\81\81\81\81\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f ¢¤¥¨ª­±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððñññòòòòòñððïïîíìêéèæåäâáßÝÜÚÙØÖÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÂÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿ÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼¼»»»»ºººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªª««ªªªªªªªªªª©©©©©ª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢£££££££¢££££££¢¢¢¢¡  \9f\9d\9c\9b\99\97\95\92\90\8d\8b\88\85\82\7f|yurolifc`^\ZXVTSQPOONNNNMMNNOPQRSTUVXY[]^_acdfhiklmopqstuvwxyz{{||}~~\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§©­°´·»¿ÃÇÊÎÑÔ×ÚÝßáäæçéêìíîïððñññòòòòòñððïîîíëêèçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©¨¨§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\80}yvrolifc`^\ZXVTSRQPPOOOOOOPQRSTUVWXZ[]^`abdegijklmopqsttuvwxyzz{{||}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f                                          ¡¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«¬®±´·º½ÁÄÈËÎÑÔ×ÚÝßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæåãáàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÆÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÃÂÂÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶¶¶¶¶µµµ´´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©ªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££¤££££££££££££££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¡¡ \9f\9e\9d\9c\9a\99\97\94\92\90\8d\8a\88\85\82~{xuqnkheb`][YWUTRQPONNMMMMMMMNOPQRSTUWYZ\]_`bdegijklnoqrstuvwxyz{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                   ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«¬®±´·º½ÁÄÈËÎÑÕ×ÚÝßáãåçéêëìíîïðñññòòòòòòòññððïîíìëêéçæåãâàßÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÂÂÂÂÁÁÁÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶¶¶µµµµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9c\9a\98\96\94\92\90\8d\8a\88\85\82\7f{xurokhfc`^[YWVTRQPONNNNMMMMNOPQRRSUVXY[]^`acefhiklmoprstuvwxyzz{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¤§©¬°³·»¿ÃÆÊÎÑÔ×ÚÝßáäæçéêìíîïððñññòòòòññððïîíìëêèçåäãáàÞÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÇÆÆÅÅÄÃÃÂÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½¾½½½½¼¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´³³³³³³³²²²²²²²±±±±±±±°°°°¯°°°¯¯¯®®®¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªª««ªªªªªªªªª©©©¨¨§§¦¥£¢ \9e\9c\9a\98\95\92\90\8d\8a\86\83\80|yvrolifc`^\ZXVUSRQPPPOOOOOPQRSTTUWXZ[]^`abdegijklnoprstuuvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\98\98\98\98\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¤§©¬°³·»¿ÃÆÊÍÑÔ×ÚÝßáãåçéêìíîïïðñññòòòòññððïîîíëêéçæäãâàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½¾¾½½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¸¸······¶¶µµµµµµµ´´³³³³³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­®­­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©ªª©©©¨¨¨§¦¥¤£¢ \9e\9c\9a\98\95\93\90\8d\8a\87\84\80}zwspmjgda_][YWUTSRQQPPPPPPPQRSTUVWXZ[]^`abdfgijklmopqrttuvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                           ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¤¥§©ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëêèçæåãáàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÅÄÄÄÄÄÃÃÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµµµ´´´³³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©¨¨§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\80}yvsolifca^\ZXVUSRQPPPPOOOPPQRSTUVWXZ[]^`abdfgijklnoprstuuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\86\86\87\87\87\87\88\88\88\88\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§ª­°´·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòññððïîîíëêèçæäãâàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÂÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©ªªª©©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡  \9f\9f\9e\9c\9b\9a\98\96\94\91\8f\8c\8a\87\84\81~{xuqnkhec`^[YWVTRQPONNNNMMMMNOPQRSTUVXY[\^_abdfgijkmnpqrttuvwxyz{{||}}~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\86\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                                                         ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡  ¡¢£¤¦¨©«­¯²µ¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáßÞÜÛÚØ×ÕÔÓÑÐÏÎÍÌËÊÉÉÈÇÇÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££££££££££¢££££££££££££££££££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡ \9f\9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{xuqnkheb`][YWUTRQPONNMMMMMMMNOPQRSTUWYZ\]^`acefhijlmopqrstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                                   ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¢£¥¦¨©«­°²¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòñððïïîíëêéçæåäâàßÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÄÄÃÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾½½½½¼¼¼¼¼»»»ººººº¹¹¹¸¸¸·····¶¶¶¶¶¶µµµ´´´´´´´³³³³³³³²²²²²²±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤¤££¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  \9f\9f\9e\9d\9c\9b\99\98\96\93\91\8f\8c\89\87\84\81~zwtqmjgdb_]ZXVUSQPONMMMMLLLLMMNOPQRSUVXY[\^_acdfgijkmnpqrstuvwxyyzz{||}}~~~~\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\81\82\82\82\82\82\83\83\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\88\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\92\92\92\93\93\93\93\94\94\93\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f       \9f       \9f       \9f                                                        ¡£¤¦¨©«­¯²µ¹¼ÀÃÇÊÍÑÔ×ÙÜßáãåçèêëìíîïððññòòòòòòññðïïîíìëéèçåäãáßÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÅÄÄÄÃÃÃÂÂÂÁÂÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©¨¨§§¦¥£¢ \9e\9c\9a\98\95\92\90\8d\8a\86\83\80|yvrolifc`^\ZXVUSRQPPPPPOOPPQRSTUVWXZ[]^`abdfgijklnoprstuvvwxyz{{{|}}~~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯³¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññòòñññðïïîíìëéèæåäâáßÝÜÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»»ºº¹¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨§§¦¥¥¤¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\85\82\7f|xuqnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWYZ\]_`acefhijkmnoqrstuuvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\9a\9b\9d\9f ¢¤¦©¬¯³·º¾ÂÆÊÍÐÔ×ÚÜßáãåçéêëíîïïðñññññññññðïîîíìëéèæåäâáßÝÜÚÙ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀÀ¿¿¿¾¾¾½¾¾¾½½½½½½½½½½¼¼¼½½½½¼¼¼¼¼¼¼¼¼»»»»»»»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµ´´´´´´³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª«««ªªªªªªªªª©©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££££££££££¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡   \9f\9f\9f\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8c\89\86\83\80}zwtpmjgdb_]ZXWUSRPONNMMMMLLMMNOPQRRTUWXZ[]^`aceghiklmoprstuvwxyzz{{||}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                                                   ¡¡  ¡¢£¥¦¨©«­°²¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññðïïîíëêéçæåäâàßÝÜÚÙØÖÕÓÒÑÐÏÎÍËÊÉÉÈÇÇÆÅÅÄÄÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼»»»ººº¹¹¹¹¸¸¸·····¶¶µµµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡¡    \9f\9e\9e\9d\9c\9a\99\97\95\93\91\8f\8c\89\87\84\81~{xtqnkheb`][YWVTRQPONNNMMMMMNOOPQRSTVWYZ\]_`bceghijlmopqrstuvwxyyzz{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f                                                ¡£¤¦§©ª¬¯²µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòññððïîîíëêéçæåãâàÞÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÈÇÆÆÅÄÃÃÃÃÂÂÂÁÁÁÁÀÀÀÀÀ¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»ºº¹¹¹¹¹¹¸¸·····¶¶µµµµµµ´´´³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬««««ª«««ªªªª©ªªª©©©©©©©©©¨¨¨§§¦¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80|yurolifc`^\ZXVTSRQPPOOOOOOPPQRSTUVXY[\^_`bcefhiklmnpqrstuvwwxyzz{{||}}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÓÖÙÜßáãåçéêëíîïïðññññòòñññðïîîíìêéçæåãâàßÝÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÇÆÅÅÄÃÃÂÁÁÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸¸······¶¶¶µµµµµ´´´³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡    ¡¡¡              ¡¡      ¡¡     ¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡      ¡    \9f\9f\9f\9e\9d\9c\9b\9a\98\96\95\92\90\8e\8b\89\86\83\80}zvspmjgda_\ZXVUSRPONNMMMMLLMMNOPQRRTUWXZ[]^_acefhijlmoprsttuvxxyz{{||}}~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\81\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«®²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçèêëìîîïððñññññññðïïîíìëêéçæäãáàÞÝÛÙØÖÕÔÒÐÏÎÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½½¼½½½½½½¼¼¼¼¼¼¼¼¼»»¼¼¼»»»ººººººº¹¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8b\88\85\82~{xtqnkgeb_][YWUTRQPOOOOONNOOPQRSTUVWYZ\]_`acefhijklnopqrstuvwxxyzzz{||}}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\81\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÔ×ÙÜßáãåçéêëíîïïððñññññññððïîíìëêéçæäãáàÞÜÛÙØÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀ¿¿¿¿¾¾½½½½½½½¼¼¼¼½½¼¼¼¼¼¼¼¼¼¼¼»»¼¼¼»»»ººººººº¹¹¹¹¹¹¸¸·······¶¶µµµµµµ´´³³³³³³²²²²±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8c\89\86\82\7f|yurolifca^\ZXWUTRQQPPPPPPPQQRSTUVWXZ[]^_abceghijkmnoprsttuvwxxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f ¡¢¤¥§¨ª¬¯±µ¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòññððïîíìëêèçæåãâàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¿¿¾¾¾¾½¾¾½½½½¼¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸····¶¶¶¶µµµµµ´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££¤££££££££££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡                                                   \9f\9f\9e\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zvspmjgda_\ZXVTSQPONMMMLLLLLMMNOPQRSTVWYZ\]_`bdeghijlmopqrstuvwxyyzz{||}}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\91\92\92\92\92\93\93\93\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f ¡¢£¥§¨ª¬¯²µ¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòññððïîíìëéèçåäãáßÞÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÂÂÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸¸·····¶¶µµµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­¬¬­¬¬¬¬««¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££££££££££££££¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡      ¡                                               ¡¡      ¡                           \9f\9f\9f\9e\9e\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsplifda^\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]^`bcefhijlmopqrstuvwxxyyz{{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\82\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢¤¥§¨ª¬¯²µ¹¼ÀÄÇËÎÑÔ×ÚÝßáãåçéêëíîîïðñññòòòòòòññðïïîíìëéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½¾¾¾½½½¼¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸·····¶¶¶µµµµ´´´´³³³²²²²²±±±±±±±°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¦¦¦¥¤£¢¡ \9e\9d\9b\98\96\94\91\8e\8b\88\85\82\7f{xurnkhec`^\ZXVTSRQPPOOOOOOPQRSTUVWXZ[]^`abdeghjklmnpqrstuvwwxyzz{{||}}}~~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨¬¯³·»¿ÃÇÊÎÑÕØÛÝàâäæèéëìíîïððññññññññðïïîíìëêèæåäâáßÞÜÚØ×ÕÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾¾½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»¼¼»»»»»»»»ºººº¹¹¹¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\98\95\93\90\8e\8b\88\85\81~{wtqmjgdb_][YWUTRQPOOOOONNOOPQRSTUVWYZ\]^`acdfgijklmnpqrsstuvwxxyyzz{|||}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\93\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\99\9a\9c\9d\9f¡£¦©¬°´¸¼ÀÄÇËÏÒÕØÛÞàâäæèéëìíîïððññññññññðïîîíìëéèæåãâàßÝÛÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»»»¼¼¼»»»»»»»»»ººººººººº¹¹¹¹¹¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´³³³³³²²²²±±±±±±±°°°¯¯¯¯¯¯®®®®®®®­­­­­­­¬¬¬«««¬««««ªª««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\96\93\90\8e\8b\88\85\82\7f{xurnkhec`^\ZXVTSRQPPOOOOOOPPQRSTUVWYZ\]^`acdfghjklmnpqrsstuvwxxyyzz{{|||}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\8f\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¦©­°´¸¼ÀÄÈËÏÒÕØÛÞàâäæèêëìíîïððñññññññððïîíìëêéçæäãáàÞÝÛÙ×ÖÔÓÒÐÏÍÌÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¾¾¾½½½½¼¼¼»¼¼¼¼»»»»»»»»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««ªªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡                                                \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9d\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8a\88\85\82\7f|yvrolifca^\ZXVTRQPONMMLLLLLLLMNOPQRSTVWYZ\]^`bcefhijlmnpqrstuvwwxyyzz{|||}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¤¦¨©«®±´¸»¿ÂÆÉÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòòññðïïîíìêéçæåäâàßÝÛÚÙ×ÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÂÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¾¿¿¾¾¾¾¾¾¾¾¾¾¾½½½¾½½½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶µµ´´´´´´³³²²²²²²²±±±±±±°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤£££££££££££££££££¢¢£££££¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡                              ¡       ¡¡                                         \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9e\9e\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8a\88\85\82\7f|yurolifca^\ZXVTRQPONMMLLLLLLLMNOPQRSTVXY[\^_acdfghjklnoprsstuvwxyyzz{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f   \9f ¡¢£¥§¨ª¬¯²µ¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòññðïïîíìëéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÂÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸··¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­­¬­­¬¬¬¬«««¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¥¤£¢¡ \9e\9d\9b\98\96\94\91\8e\8c\89\86\82\7f|yurolhfc`^\ZXVTSRQPPOOOOOOPPQRSTUWXZ[]^_abdeghjklmnpqrsttuvwxyyzz{{||}}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\91\91\92\92\92\92\92\93\92\92\93\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\99\9a\9c\9e\9f¡£¥¨«¯³¶º¾ÂÆÊÍÑÔ×ÚÝßáäåçéêìíîïïðññññññññððïîíìëêéçåäâáßÞÜÚØ×ÕÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾½½½¼¼¼¼¼¼¼¼»»»»»»»»»»º»»»»»ººººººººº¹¹¹¹¹¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©ª©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦§¦¦¦¦¥¥¤¤£¢¡ \9f\9d\9b\99\97\95\92\90\8d\8a\87\84\81}zwspmjgda_\ZXWUSRQPOOOONNOOPQRSSTVWXZ[]^`acdfgijklnopqsstuvwxyyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\90\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9b\9c\9e ¢¤¦©¬°³·»¿ÃÆÊÎÑÔ×ÚÝßâäæçéêìíîïððñññññòñññðïîîíìëéèæåãâàßÝÛÙØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾¾½½¼¼¼¼¼¼¼»»»»¼¼»»»»»»»»»»ººººººººº¹¹¹¹¹¹¸¸¸¸¸····¶¶¶¶¶µµµ´´´´´´³³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¥¥¤¤£¢ \9f\9d\9c\9a\97\95\92\90\8d\8a\87\84\81}zwspmjgda_\ZXWUSRQPOOOONNOOPQRSTUVWXZ\]^`abdfgijklmopqsstuvwxyzz{{{|}}}~~~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\81\80\80\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\99\9a\9b\9d\9f ¢¤¦©¬°³·»¿ÃÆÊÎÑÔ×ÚÝßâäæçéêìíîïððññññòòñññðïîîíìëéèæåãâàßÝÛÙØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾¾½½¼¼¼½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ºººº¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨§§§§§§§§§§¦¦¦¥¥¤££¢ \9f\9d\9c\9a\97\95\93\90\8d\8a\87\84\81~{wtqnjgeb_][YWUTSQQPPPPPPPPQRSTUVWXZ\]_`abdegijklnopqstuuvwxyzz{{||}}~~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\9a\9c\9d\9f¡£¥§ª­°´¸¼¿ÃÇËÎÑÕØÚÝßâäæèéëìíîïððññññòòñññðïîíìëêéçæäãáàÞÝÛÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÅÄÃÃÂÂÁÁÀ¿¿¾¾¾¾¾½½½½½½½¼¼¼¼¼¼¼¼¼¼¼»¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸·····¶¶¶µµµ´´´´´³³³²²²²²²±±±±±±°°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££¤¤¤¤£££££¤¤££££££££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡                         \9f\9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9e\9e\9d\9c\9b\9a\99\97\95\93\91\8f\8d\8a\87\85\82\7f|xurolifc`^\YWVTRQPONMMMMLLLMNNOPQRSUVXZ[]^`aceghiklmoprstuvwxyzz{{||}~~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f     \9f                  ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¢¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáäåçéêëíîîïðñññòòòòòòòñððïîîíëêèçæäãâàÞÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼»»»ºººº¹¹¹¸¸¸·····¶¶µµµµµµ´´´´´´³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««¬«««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤££££££££££££££££££££££££££¢¢££££££¢¢¢££££¢¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡  ¡¡¡¡¡¡  ¡¡¡¡¡    ¡¡¡¡   \9f\9f\9e\9e\9d\9c\9a\99\97\96\94\91\8f\8d\8a\87\85\82\7f{xurolifc`^[YWUTRQPONNMMMMMMMNOPQRSTVWY[\^_abdfhijlmnpqstuvwxyz{{||}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f                                  ¡¡      ¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢££££££¢££££££¢¢¢£££££¢¢¢£££££££¤¥§¨ª¬­¯²´·»¾ÁÅÈÌÏÒÕØÚÝßáäåçéêëìîîïðñññòòòòòòòññððïîíìëêèçæåãâàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍËÊÊÉÈÈÇÆÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÂÁÁÁÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¾¾½½¼»º¸·µ³±®¬©¦£ \9d\99\96\92\8e\8b\87\83\80|yuroligeca_]\[[[ZZZZZ[\]^_`abcefhiklmoprsuvwxyz{}}~\7f\7f\80\81\82\83\83\84\84\84\85\85\86\86\87\87\87\87\87\87\88\88\88\88\88\88\88\89\89\89\89\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9d\9e\9f¡£¤¦¨ª¬¯³¶º¾ÁÅÈÌÏÓÖÙÛÞàâäæèéëìíîïððññòòòòòòòñððïîíìëéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸····¶¶¶¶¶µµµ´´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªª«ªªªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§§¦§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢££££¢¢¢£££££££££££¤££££¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££¤¤££££££¤¤££££££££££££££££££££££¤¤££££££¤¤££££££¤¤£££££¤¤¤¤££££¤¤¤££££££¤¤£££££¤¤¤¤£££¤¤¤¤¤££££¤¤¤¤££££¤¤¤¤££££¤¤¤¤£££££¤¤££££££¤¤££££¢¢¡ \9f\9e\9d\9b\9a\98\96\94\91\8f\8c\89\86\83\80}zvspmjgda^\ZXVTSQPOONNNNMMNNOPQRRSTVXY[\^_abdfhiklmoprsuvwxyz{|}}~~\7f\80\81\81\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\86\87\87\87\87\88\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f                           ¡¡    ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££££¢¢¢£££££¢¢£££££££¢£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¤££££££¤¤¤£££££¤¤¤£££££¤¤¤££££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤¤£¤¤¤¤¤¤¤£¤¤¤¤¤¤¤£¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤¤£¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤¤£¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¥¥¥¥¥¥¦¦¦§§§§§§¨¨¨¨¨¨§§§¦¦¦¥¤££¢¡¡ \9f\9e\9d\9c\9b\9b\9a\99\98\97\96\95\95\94\94\93\93\92\91\91\90\90\90\90\8f\8f\8f\8e\8e\8e\8f\8f\8f\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\91\91\91\92\92\92\92\92\93\93\93\94\94\94\94\94\95\95\96\96\96\96\96\97\97\97\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                   ¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££££¢¢¢£££££¢¢££££££££££££££¢¢¢£££££¢¢£££££££££££££££££££££££££££££££££££¤¤£££££¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££¤¤¤£££££¤¤¤¤££££¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££¤¤¤¤¤¤££¤¤¤¤¤¤££¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¥¦§©ª«¬®°³¶¹½ÀÃÇÊÍÐÓÖÙÛÞàâäæçéêìíîïïðññòòòóóóóóóóóóóóóòòòñññðïïîííìëêéèçæååäãâàßßÞÝÜÜÛÙÙØ××ÖÖÕÔÓÓÓÒÒÑÑÐÐÐÏÏÏÏÎÎÍÍÍÍÍÍÌÌËËËËËËÊÊÉÉÉÉÉÈÈÇÇÇÇÇÇÆÆÅÅÅÅÅÄÄÄÃÃÃÃÂÂÂÁÁÀÀÀÀÀ¿¿¾¾¾¾¾½½½¼¼¼¼¼¼»»»ºººººº¹¹¹¸¸¸¸¸¸······¶¶µµµµµµµµ´´´´´´´³³³³³³³²²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««««««««ªªªªªªªªªª©ªªªªª©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§¨¨¨¨¨§§§§§§¨§§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤£££¢¢¡ \9f\9e\9c\9a\98\96\94\91\8f\8c\89\86\83\80}yvsolifca^\ZXVTSQPOONNNMMMMNNOPQRSTVWY[\^_abdfhiklmoprstuvwxz{||}}~\7f\7f\80\80\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                   ¡      ¡¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢¢£££¢¢¢¢£££££¢¢¢££££££¢££££££££££££££££££££££££¤¥§¨ª¬­¯²´·»¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòññððïîíìëéèçæåãâàßÞÜÛÚÙ×ÖÔÓÒÑÐÏÎÍÌËËÊÊÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾½½½½½½¼¼»»»»»ººº¹¹¹¸¸¸¸··¶¶¶¶¶¶µµµµµµµ´´´³³³³³³³²²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªª««ªªªªªªªªªª©©©©ªªª©©©©©©©©©©¨©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££¤££££££££££££££££££££££££££££¢¢¢¡ \9f\9e\9d\9b\99\97\95\93\91\8e\8b\88\85\82\7f|yurolifc`^[YWUTRQPONNNMMMMMNNOPQRSTVWY[\^_`bdfgijkmnpqrtuvwxyz{{||}~~\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                                  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢££¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìîîïðñññòòòòòòòñññðïïîíëêéèçæäãáàÞÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÆÆÆÆÅÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½½¼¼¼¼»»»»ºººº¹¹¹¸¸¸¸···¶¶¶¶¶µµµµµµ´´´³³³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬«««ªªª©¨¨§¥¤¢¡\9f\9c\9a\97\95\92\8f\8c\89\85\82\7f{xuqnkheb`^\ZXWUTSRRQQQQQQRSTUVVWYZ\]_`acdfgijlmnoprstuvwwxyz{|||}}~\7f\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9d\9e ¢£¥§ª­°´¸¼¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòññððïîîíìêéçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÃÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµµµµ´´´³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª««««ªªªªªªªªªª©©ªªªª©©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢££££££¢¢££££££¢¢¢¡¡  \9f\9d\9c\9a\99\97\94\92\90\8d\8a\87\84\81~{xtqnkheb_][YWUSRPONNMMMMLLLMNOPQRSTUWXZ[]^`acefhiklmoprstuvwxyzz{{|}}~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\84\84\83\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\95\95\95\94\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\9a\9a\9a\9a\9a\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                                           ¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«¬®±´·º½ÁÄÈËÎÑÔ×ÚÝßáãåçèêëìíîïððññòòòòòòòññððïîíìëêèçæåãâàßÝÜÛÙØÖÕÔÒÑÐÏÎÍÌËÊÊÉÉÈÇÆÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÂÂÂÁÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¹¸¸¸····¶¶¶¶µµµµµ´´´´´´³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªª««ªªªªªªªªªª©©©©©ª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§¨¨¨¨¨§§§§§§§§§§¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢¡¡ \9f\9e\9d\9c\9a\99\97\95\92\90\8d\8a\88\85\82~{xuqnkheb`][YWUSRQONNNMMMMMMMNOPQRSTUWYZ\]^`bceghjklnoqrstuvwxyz{{|}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9b\9c\9e ¢£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððññññòòòññððïîîíëêèçæäãâàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÁÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´´´´´³³³²²²²²²²²±±±±±±±°°°¯¯°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªª««ªªªªªªªªªª©©©¨¨§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\83\80}yvsolifc`^\ZXVUSRQPPPPPOOPPQRSTUVWXZ[]^`abdfgijklnoprstuuvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                                                           ¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¢¢£¤¦¨©«¬®±´·º½ÁÄÈËÎÑÔ×ÚÝßáãåçèêëìíîïðñññòòòòòòòññðïïîíìêéèçåäãáßÞÜÛÚÙ×ÖÔÓÒÑÐÏÎÍËÊÊÉÉÈÇÇÆÅÅÅÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´´´´³³³³²²²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªª«ªªªªªªªªª©©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¡¡  \9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{xtqnkheb`][YWUTRQOONNMMMMLMMNOPQQRTUWXZ[]^`acefhiklmoprstuvwxyzz{{|}}~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                           ¡       ¡¡     ¡¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¢¢£¤¦§©ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáßÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍËËÊÉÉÈÇÇÆÅÅÅÅÄÄÃÃÃÃÃÃÂÂÂÂÁÁÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾½½½½½½½¼¼»»»»»ºº¹¹¹¹¹¸¸¸······¶¶µµµµµµµ´´³³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©¨¨§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\81}zwspmjgda_][YWVTSRQQQPPPPQQRSTUVWXZ[]^`abdegijlmnoprstuvwwxyz{||}}~~\7f\7f\80\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\82\83\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\98\98\97\97\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòññððïîíìëêèçåäãáàÞÜÛÙØÖÕÔÒÐÏÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®¯®®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡ \9f\9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{xtqnkheb`][YWUSRQONNMMMMLLMMNOPQQRSUVXZ[\^_acefhijkmnpqrstuvwxyz{{||}~~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                                                   ¡¡     ¡¡¡     ¡¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¤¥§¨ª«­°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòñððïïîíìêéèæåäâáßÝÜÛÙØ×ÕÓÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÄÄÃÃÂÂÂÁÁÁÁÁÁÁÀÀÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼»»»»»ººº¹¹¹¹¸¸¸¸····¶¶¶¶µµµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªªªª©©©ª©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡  \9f\9e\9e\9c\9b\9a\98\96\94\91\8f\8c\8a\87\84\81~{wtqnjgeb_][XWUSRPONNMMMMLLLMNOOPQRSUVXZ[\^_acefhijlmopqsttuwxyyz{{||}~~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f      \9f                                  ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡  ¡¡¡¡¡    ¡¡¡     ¡¡¡  ¡¢£¤¦¨©«­¯²µ¹¼ÀÃÇÊÍÑÔ×ÙÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÌËÊÉÉÈÈÇÆÅÅÄÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÁÁÀÀÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½¼¼¼»»»»»ººº¹¹¹¸¸¸¸¸····¶¶¶¶µµµµµ´´´³³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªª««ªªªªªªªªªª©©©¨¨§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\80}zvsplifda_\ZYWUTSRQQPPPPPPQRSTUVWXZ[]^`abdeghjklmopqrstuvwxyyz{{||}}~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\91\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\98\98\99\9a\9b\9d\9f¡¢¤¦©¬¯³·»¾ÂÆÊÍÑÔ×ÚÜßáãåçéêìíîïïðññññòòòññðïïîíìëéèæåäâáßÞÜÚÙ×ÖÕÓÒÐÏÍÌÌËÉÈÇÆÅÅÄÄÃÂÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼»»»ººººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££¤££££££££££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡  \9f\9f\9e\9c\9b\99\98\96\94\91\8f\8c\8a\87\84\81~zwtqnjgeb_][XWUSRPONNMMMMLLLMNOOPQRSUVXY[\^_acdfgijkmnpqrstuvwxyzz{{|}}~~~\7f\7f\7f\80\80\80\81\81\80\81\81\81\81\82\82\82\81\82\82\82\82\82\83\83\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                                                          ¡       ¡¡      ¡                    ¡£¤¦§©«­¯²µ¸¼¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïððñññòòòòòññððïîíìëêèçæåãâàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÅÄÄÄÃÃÃÂÂÂÁÁÁÁÁÁÁÀÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³³³²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©¨¨§¦¦¥£¢ \9e\9c\9a\98\95\92\90\8d\8a\86\83\80}yvrolifc`^\ZXVUSRQPPPPOOOPPQRSTUVWYZ\]_`acdfgijkmnoprstuuvwxyzz{{||}~~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\99\99\99\99\99\9a\9b\9d\9f ¢¤¦©¬¯³·º¾ÂÆÊÍÐÔ×ÚÜßáãåçéêëíîïïðññññòòòññðïïîíìëéèçåäâáßÞÜÚÙ×ÖÕÓÑÐÏÍÌËÊÉÈÇÆÅÅÄÃÃÂÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾¾½½½½¾¾¾½½½½½½½½½½¼¼½½½¼¼¼»»»»»»»ºººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤££££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9b\99\97\95\93\91\8f\8c\8a\87\84\81~zwtqnjgeb_][YWUSRQONNMMMMMLMMNOOPQRSUVXY[\]_abdfgijkmnoqrstuvwxyyzz{||}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f                                                       ¡£¤¦¨©«­¯²µ¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòñððïïîíìêéèçåäâáßÝÜÛÙØ×ÕÓÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤£££¤¤¤¤£££££¤¤¤££££££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢££££¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9a\99\97\95\93\91\8e\8c\89\86\83\80}zwspmjgda_\ZXVUSRPONNMMMLLLLMNNOPQRSUVXY[\^_acdfgijkmnpqrstuvwxyyzz{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëìîîïððññññòñññðïïîíìëéèæåäâáßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÅÄÃÃÂÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾¾½½½½½½½½½¼¼½½½½¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸¸······¶¶¶¶¶µµµ´´´´´´´³³³²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª««««ªªªªªªªª©©©©©©©©©¨¨¨¨©©¨¨¨¨§§¦¦¥¤¢¡ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80}yvsplifda_\ZYWUTSRQQPPPPPPQQRSTUVWYZ\]^`abdeghjklmopqrstuvwwxyzz{{||}}~~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\8f\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëíîîïððñññññññðïïîíìëêéçåäãáàÞÜÛÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸·······¶¶¶¶µµµµ´´´´´´³³³²²²²²²²±±±±±±°°°°¯¯°¯¯¯¯®®¯¯®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¤£¢¡\9f\9d\9b\99\96\94\91\8e\8b\88\85\82~{xtqnkheb_][YWUTRQPPOOOOONOOPQRSTUVWYZ\]_`acefhijkmnoqrsttuvwxyyzz{{|}}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f      \9f      \9f\9f\9f     \9f\9f\9f      \9f                ¡£¤¦§©ª¬¯²µ¸¼¿ÃÆÊÍÐÔÖÙÜÞáãåçèêëìíîïððññòòòòòòòññððïîíìêéèçåäâáßÝÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÈÇÆÅÅÄÄÃÃÃÃÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶µµµ´´´´´´³³³²²²²²²±±±±±±°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª«««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡     ¡       ¡¡   \9f\9f\9f\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zwspmjgdb_]ZXVUSRPONNMMMMMLMMNOPQRSTUWXZ[]^`acefhijkmnpqrstuvwxyzz{{|}}~~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\94\95\95\95\95\95\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«®²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçéêëìîîïððñññññññðïïîíìëêèçåäãáàÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀ¿¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½¼¼¼¼½½¼¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®®­­­­­­­¬¬¬¬¬¬¬¬««¬¬¬««««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8c\88\85\82\7f{xuqnkheb`][YWVTRQPPOOOOOOOOPQRSTUVWYZ\]^`acdfgijklmopqrstuuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f               ¡¢¤¥§¨ª¬¯±µ¸»¿ÂÆÉÍÐÓÖÙÜÞàãåæèêëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÉÈÈÇÇÆÅÅÄÃÃÃÂÂÂÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½½¼¼¼¼»»»»»ººº¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³²²²²²±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©ªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡                      ¡¡      ¡¡   \9f\9f\9e\9e\9d\9c\9b\9a\98\96\94\92\90\8e\8b\88\86\83\80}zvspmjgda_\ZXVTSQPONMMMLLLLLMMNOPQRSTVWYZ\]_`bdeghiklnopqrstuvwxyyzz{||}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\92\93\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f ¡¢¤¥§¨ª¬®±µ¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòññððïîíìëêèçæäãâàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÉÉÈÇÇÆÅÅÄÃÃÃÃÂÂÁÁÁÁÁÁÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¸¸·······¶¶µµµµµµ´´³³³³³³²²²²²±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨©¨¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡      ¡¡                  \9f\9f\9f\9e\9e\9d\9c\9b\99\98\96\94\92\90\8e\8b\88\86\83\80}zvspmjgda_\ZXVUSQPONNMMMMLLMMNOPQRSTUWXZ[]^`acefhijlmopqrstuvwxyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\81\82\82\82\82\83\83\83\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f       ¡¢¤¥§¨ª¬¯²µ¸¼¿ÃÆÊÍÑÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòññððïîíìëêèçæåãâàÞÝÛÚÙ×ÖÔÒÑÐÏÎÍÌËÉÈÈÇÆÆÅÄÄÃÃÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©ªªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¤£¢¡\9f\9d\9b\99\96\94\91\8e\8b\88\85\82\7f{xuqnkheb_][YWVTRQPPOOOOOOOOPQRSTUVWYZ\]_`acdfgijklnoprsstuvwxyyzzz{||}}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\97\98\98\99\9a\9c\9e\9f¡£¥¨«¯³·º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïððñññññññðïîîíìëéèæåãâáßÞÜÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÆÅÄÄÃÂÂÁÁÀ¿¿¿¿¿¾¾½½½½½½½½½¼¼½½½½¼¼¼¼¼¼¼¼¼»»»»»»»»ºººººººº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªªª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££££¢£££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡      ¡¡                                                                 \9f\9f       \9f\9f\9f\9e\9e\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifca^\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]_`bdeghiklnopqrstuvwxyyzz{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\9a\9c\9d\9f¡£¥¨«¯³·»¿ÃÆÊÎÑÔ×ÚÝßâäæçéëìíîïððññññññññðïïîíìëêèçåäâáßÞÜÚÙ×ÖÔÓÑÐÎÍÌËÊÉÈÆÅÄÄÃÃÂÁÁÀ¿¿¿¿¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼»¼¼¼¼¼»»»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸·····¶¶¶µµµµµ´´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯¯®¯¯®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~{wtqmjgdb_][YWUSRQPOOONNNNNOPQQRSTUWXZ[]^_abdfghiklmnpqrsstuvwxxyyzz{|||}}}}~~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢¤¥§¨ª­¯³¶¹½ÁÄÈËÎÒÕØÚÝßâäæçéêìíîïïðñññòòòòòòññðïïîíìêéçæåãâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÈÇÇÆÅÅÄÃÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»»»ºººº¹¹¹¹¸¸¸¸···¶¶¶¶µµµ´´´´´´³³³³³³²²±±±±±±±°°°¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤£££££¤£££££££££££££££££££¢££££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡                               ¡                   \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9e\9e\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8a\88\85\82\7f|yvrolifca^\ZXVTSQPONMMMLLLLLLMNOPPQSTVWYZ\]^`bceghijlmopqrstuvwxxyyz{{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢¤¦§©«­°³·º¾ÁÅÈÌÏÒÕØÛÞàâäæèéëìíîïððñññòòòòòòñððïïîíìêéçæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÈÇÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼»»»»ºººº¹¹¹¸¸¸¸··¶¶¶¶¶¶µµ´´´´´³³³²²²²²²²±±±±±±±°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©ªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¡ \9e\9d\9b\98\96\93\91\8e\8b\88\85\82\7f{xuqnkheb`][YXVTSRQPPOOOOOOPQRSTUVWXZ[]^`abdeghjklmnpqrstuvwxxyzz{{||}}~~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\98\99\9a\9c\9e ¢¤¦ª­±µ¹½ÁÄÈÌÏÓÖÙÜÞáãåçèêëìíîïðñññññññññðïîîíìëêèçåäâáßÞÜÚØ×ÖÔÓÑÐÎÍÌËÊÉÇÆÅÄÃÃÂÂÁÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»»»¼¼¼»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤££££££££££¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡      ¡                                           \9f      \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8a\88\85\82\7f|yvrolifca^\ZXVTSQPONMMLLLLLLLMNOPQQSTVWYZ\]^`bdeghijlmopqrstuvwwxyyzz{|||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9b\9d\9e ¢¤§ª®±µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçéêëíîîïðñññññññññðïîîíìëéèæåãâáßÝÜÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»»»º»»»ºººº¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±°°°°°¯¯¯¯¯¯¯®®®®®®®­­­­¬¬­¬¬¬¬««««««««ªª«««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¥¥¤¤£¢ \9f\9e\9c\9a\98\95\93\90\8d\8a\88\84\81~{xtqnkheb`][YXVTSRQPPOOOOOPPQRSTUVWXZ[]^`abdeghiklmnoqrsttuvwxxyzzz{{||}}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¥¦¨ª¬¯²µ¸¼¿ÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòññðïïîíìêéèæåäâáßÝÜÚÙ×ÖÕÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÃÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯®®®­­­®­­­­¬¬¬¬¬¬¬¬«««¬««««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§¦¦¦¥¤£¢¡ \9e\9c\9a\98\96\93\90\8e\8b\88\85\81~{wtqmjgdb_][YWUTRQPOOOONNNOOPQRSTUVXY[\^_`bceghiklmnoqrsttuvwxyyzzz{||}}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9a\9c\9e\9f¡£¥¨«¯³·º¾ÂÆÊÍÑÔ×ÚÝßáäåçéêìíîïïðñññññññññðïîíìëêéçæäãáàÞÝÛÙ×ÖÔÓÒÐÏÍÌËÊÉÈÇÅÄÃÃÂÂÁÁÀ¿¿¾¾¾¾½½½¼¼¼¼¼¼¼»»»»»¼»»»»»»»»»»»ºººººººº¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©ªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤£££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡      ¡                                                   \9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9d\9d\9c\9b\9a\98\97\95\93\91\8f\8c\8a\87\84\82\7f|xurolifca^\ZXVTRQPONMMMLLLLLMNOPQQSTUWYZ\]_`bdeghjklnoprsttuvwxyzz{{||}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\98\98\98\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f                      \9f\9f      \9f\9f                           ¡     ¡¡¡¡     ¡¡¡ ¡¢£¥¦¨ª¬®°³¶º½ÁÄÈËÎÒÕ×ÚÝßáãåçéêëíîîïðññòòòòòòòòññðïïîíìêéçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÈÈÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¿¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³³²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡      ¡                                          \9f\9f\9f\9f    \9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9e\9e\9d\9c\9b\9a\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvspmjgda_\ZXVUSRPONNNMMMMMNNOPQRSTVWYZ\]_`bdeghjklnoqrstuvwxyzz{{||}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f                           ¡      ¡¡      ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢£¤¦¨©«­¯±´·º¾ÁÅÈÌÏÒÕØÚÝßâäåçéêëíîîïðñññòòòòòòòññðïïîíìëéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿ÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©©©©©©¨¨¨§§¦¦¥¤£¡ \9e\9c\9a\98\95\93\90\8d\8a\87\84\81}zwspmjgda^\ZXVUSRQPOOOOOOOPQRSTUVWXZ\]_`acdfhiklmnoqrstuvwxyzz{|||}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\85\86\86\86\86\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\91\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9b\9d\9e ¢¤¥¨ª­±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððñññòòòòññðïîîíìêéèæäãâàßÝÛÙØÖÕÔÒÑÏÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½¾¾½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¸¸·····¶¶¶µµµµµµ´´´´´³³³³²²²²²²±±±±±±±±°°°°¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨©©©©¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¢¡\9f\9e\9c\9a\97\95\93\90\8d\8a\87\84\81~{wtqnkheb`][YWVTSRQQQPPPPQQRSTUVWXZ[]_`acdegijlmnopqstuvvwxyz{{||}}~~\7f\7f\7f\80\80\80\80\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f¡¢¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòñððïîíìëêèæåãâáßÝÛÚØ×ÕÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀÀÀ¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ººººº¹¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§¨§§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££££££££££££££££££¢¢££££££¢¢¢££££¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9b\99\98\96\94\92\8f\8d\8a\88\85\82\7f|xurolifc`^[YWVTRQPONNMMMMMMNNOPQRSTVXY[\^_acdfhiklmoprstuvwxyz{{||}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\93\93\93\93\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9b\9c\9d\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïîíìëêèçåäâáßÞÜÚÙ×ÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««««««««««««««ªªªªªªªª©©©©©©©©©©¨¨§§¦¥¤£¢ \9f\9d\9b\99\96\93\91\8e\8b\88\85\82~{xtqnkheb`^\ZXVUTSRRQQQQQRRSTUVWXYZ\^_`bcdfhiklmnoqrsuvvwxyz{||}}~~\7f\7f\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\98\98\97\97\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f                  ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢££££££¢££££££¢¢££££££¢¢££££££¢¢£££££££¢££££££££££££££££¤¥§©ª¬­¯²´·»¾ÁÅÈÌÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòòññððïîíëêéèçåäâáßÞÜÛÚØ×ÕÔÓÒÑÐÏÍÌËËÊÉÉÈÇÇÆÆÅÅÅÅÄÄÄÃÃÃÃÃÃÃÂÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀ¿¿¿¿¾¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªª««ªªªªªªªªªª©©©©©©©©©©©©©©©©©¨¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¤¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤¤££¤¤¤¤¤£££££¤¤££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢¡¡ \9f\9f\9d\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|yvsolifca^\ZXVUSRPOONNNNMMMNOPQRRSUVXY[]^_acefhjklnoqrsuuvwxyz{|}}~~\7f\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\84\83\83\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f                  ¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢££££¢¢¢££££££¢££££££££££££££££££££££££££££££££££££££££££££££££¤¦§©ª¬®°²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòòòòññððïïîìëêéèçåäâáßÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍÌËÊÊÉÈÈÇÆÆÆÅÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½½¼¼¼¼¼»»»ºººº¹¹¹¹¸¸¸¸¸······¶¶¶µµµµµµ´´´´´´´´³³²²²³³²²²±±²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««ªª©©¨§¥¤¢ \9e\9c\9a\97\94\91\8e\8b\88\85\81~{wtpmjgda_][YWVTSRQQQPPPPPQRSTUVWXY[]^`abdegijlmnoqrsuvwwxyz{|}}~~\7f\7f\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¹¼ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññððïîíìëêèçæäãáàÞÜÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÃÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½¼¼¼»»»ºººº¹¹¹¸¸¸¸¸¸······¶¶¶µµµµµµ´´´³³³³³³²²²²²²²±±±±±±±°°°¯¯°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬«¬¬¬¬¬«««««««««ªªª©©¨§¦¥£¢ \9e\9b\99\96\94\91\8e\8b\88\84\81~zwspmjgda_][YWUTSRQQPPPPPPQRSTUVWXY[\^_abceghjklnoprstuvwxyz{{||}}~\7f\7f\80\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\92\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9d\9f¡¢¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÚÝßâäæçéêìíîïððñññòòòòññððïîíìëêèçæäãâàßÝÛÚÙ×ÖÕÓÒÐÏÎÍÍÌÊÉÈÈÇÇÆÆÅÄÄÃÃÃÃÂÂÁÁÁÁÁÁÁÁÀÀÀÀÁÁÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸·····¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±±±±±±°°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬­­­­¬¬¬¬¬¬¬¬«««««««««ªªª©©¨§¦¥£¢ \9e\9b\99\96\94\91\8e\8b\88\84\81~zwtpmjgda_][YWVTSRQQQQPPPQQRSTUVWXZ[]_`acdfgijlmnoqrsuvvwxyz{||}}~~\7f\80\80\80\81\81\81\81\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÚÝàâäæçéêìíîïððñññòòòòòññðïïîíìêéèæåäâáßÝÜÛÙØ×ÕÔÒÑÐÏÎÍÌÊÉÈÈÇÇÆÅÅÄÃÃÃÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½¼¼¼¼»»»»»»ºº¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´´³³³³³³²²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ª««««««ªªªªª«ªªªªªªªªªª©©©ªªªª©©©©©©©©©¨¨¨©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££¤¤¤¤¤££££¤¤¤£££££¤¤¤££££¢¢¡ \9f\9e\9d\9b\99\97\95\93\90\8e\8b\88\85\82\7f|xurokhfc`^[YWVTRQPONNNNMMMMNOOPQRSTVWYZ\]_`bdfgijkmnpqrtuuvwyzz{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f ¢¤¦¨ª­±´¸¼ÀÃÇËÎÑÔ×ÚÝßâäæçéêìíîïððññññòòòññðïïîíìëêèçæäãâàÞÝÛÚÙ×ÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»»»ººººº¹¹¹¹¸¸¸¸······¶¶µµµµµµµ´´´´´´´³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬­­¬¬¬«¬¬¬¬¬«««««««««ªªª©©¨§¦¥£¢ \9e\9b\99\96\93\91\8e\8b\87\84\81~zwspmjgda_][YWVTSRQQQPPPPQQRSTUVWXZ[]^`abdeghjkmnoprstuvwxyz{{||}}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f                                         ¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢£££¢¢£¤¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìîîïðñññòòòòòòòñññðïïîíëêéèçæäãáàÞÝÜÚÙ×ÖÕÔÓÒÑÐÎÍÌËËÊÊÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬««««««««««ªªªªªªªªªªªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££¤¤¤£££££¤¤¤£££££¤¤¤££££££¤££££££££££££££££££££££££££££££¤¤¤£££££¤¤¤£££¢¢¡¡ \9f\9e\9d\9b\99\98\95\93\91\8e\8b\89\86\83\80}yvspmjgda_\ZXWUSRQPOONNNNMNNOPQRRSUVXY[\^_`bdfgijkmnoqrstuvwxyz{{||}~~\7f\7f\7f\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\96\96\95\95\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f                                           ¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòññððïïîìëêéèæåäâàßÞÜÛÚØ×ÕÔÓÒÑÐÏÍÌËÊÊÉÉÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾½½½½¼¼¼¼»»»»ººº¹¹¹¹¸¸¸·····¶¶¶¶¶µµµµ´´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªªª©©©©ªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤££££££¤££££££¤¤¤£££££¤¤¤£££££¤¤¤£££££¤¤¤££££££¤££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢¡¡ \9f\9e\9d\9c\9a\99\97\95\92\90\8d\8b\88\85\82\7f{xurnkhec`][YWUTRQPONNMMMMLMMNOPQRSTUWXZ\]^`aceghiklnoqrstuvwxyz{{||}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f                                                        ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¦¨©«¬®±³·º½ÁÄÈËÎÑÔ×ÚÝßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæåãâàÞÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÉÉÈÈÇÆÅÅÅÅÄÄÄÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼¼¼¼»»»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬­­­¬¬¬««¬¬¬«««««««««ªªªªªªªªªª©©ªªªª©©©©©©©©©©¨¨¨©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££¢££££££££££££££££££££££¢¢¢££££££¢££££££¢¢¢£££££¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢¡¡ \9f\9e\9d\9c\9a\98\97\94\92\90\8d\8a\88\85\82\7f{xurnkheb`][YWUTRQOONNMMMMMMMNOPQRSTUWYZ\]^`bceghiklmoprstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\95\94\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\98\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòòñððïïîíìêéçæåãâàßÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÆÅÄÄÃÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼»»»»»»ºººº¹¹¹¹¸¸¸¸····¶¶¶¶¶µµµ´´´´´´³³²²²²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬««««««««««ªªªªªªªªª©©©¨¨§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\81}zwtpmjgdb_][YWVTSRQQQPPPPPQRSTUVVXY[\^_`bcefhiklmnoqrstuvvwxyzz{{||}~~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e ¢£¥§ª­°´¸¼¿ÃÇËÎÑÔ×ÚÝßâäæçéêìíîïððññññòòòññðïïîíìëêèçåäãáàÞÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÇÆÆÅÅÄÃÃÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½¾¾¾½½½½½½½½¼¼¼»»»»»»ºººº¹¹¹¹¸¸¸·····¶¶¶¶µµµµµ´´´´´³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªª«««ªªªªªªªª©©©¨¨§§¦¥£¢ \9e\9c\9a\98\95\92\90\8d\8a\87\83\80}yvsolifca^\ZXWUSRQQPPPPPPPPQRSTUVWYZ\]_`acdfhijkmnoprstuuvwxyz{{{|}}~~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f                 ¡¡¡     ¡¡¡                      ¡¡     ¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¢¡¢£¤¦§©ª¬®°³¶º½ÁÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÉÉÈÈÇÆÅÅÅÅÄÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾½½½½½½¼¼»»»»»ººº¹¹¹¹¹¸¸······¶¶µµµµµµµ´´³³³³³³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯®®®¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤££££££¤£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢£££££££¢££££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡  \9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{xurnkhec`^[YWVTRQPONNNMMMMMNOOPQRSUVXY[\^_abdfhijlmnpqstuvwxyz{{||}}~\7f\7f\80\80\80\80\80\81\81\81\82\82\81\81\82\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                       \9f                  ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢£¤¦§©ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòññððïîíìëéèçæåãáàÞÝÜÚÙØÖÔÓÒÑÐÏÎÍËÊÊÉÉÈÇÇÆÅÅÅÄÄÄÃÃÃÂÃÃÃÂÂÂÁÁÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½½½¼¼¼»»»»»ººº¹¹¹¹¸¸¸¸······¶¶µµµµµµµ´´³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬«««««««««ªªªªªªªªªª©©¨¨§¦¥¤£¡\9f\9d\9b\99\96\93\90\8d\8a\87\84\81}zvsplifca^\ZXVUSRQPPPPOOOPPQRSTUVWXZ[]^`abdfgijkmnoqrstuvvwxyz{{||}}~~~\7f\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\99\99\9a\9b\9c\9e\9f¡£¤¦©¬¯³·»¾ÂÆÊÍÐÔ×ÚÜßáãåçéêëìîîïððñññòòòññðïïîíìëêèçåäãáàÞÜÚÙØÖÕÔÒÐÏÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÁÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼»»»»ººººº¹¹¹¸¸¸¸····¶¶¶¶¶µµµµµµ´´³³³³³³³²²²±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªª««ªªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££££££££££££££££££££££££££££££££££££££¢£££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡ \9f\9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{wtqnkheb_][YWUSRPONNMMMMLLMMNOPQRSTUWXZ\]^`bceghjklnoqrstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\83\82\82\82\83\83\83\83\84\84\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\91\91\91\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                                   ¡¡     ¡¡¡     ¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¢£¤¦§©ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæåãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍËÊÊÉÉÈÇÆÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÂÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½¼¼¼»»»»»ººº¹¹¹¹¸¸¸¸···¶¶¶¶µµµ´´´´´´³³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª«««ªªªªªªªªª©©©¨¨§¦¥¤£¢ \9e\9c\9a\98\95\93\90\8d\8a\87\84\80}zvspmjgda_][YWUTRQQPPPPPPPPQRSTUVWXZ\]_`abdfgijklmopqsttuvwxyzz{{||}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\9a\9c\9d\9f¡¢¤¦©¬¯³·º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïððñññññññððïîîíìêéçæåãâàßÝÛÚØ×ÕÔÓÑÐÎÍÌËÊÉÈÇÆÅÅÄÃÃÂÁÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾¾½½¾¾¾¾½½½½½¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»ººººº¹¹¹¹¸¸¸¸¸·····¶¶¶µµµµµµ´´³³³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªª««««ªªªªªªªªª©©©©©©©©©¨¨§§¦¥¤£¢ \9e\9c\9a\97\95\92\8f\8c\89\86\83\7f|yurokhec`^[YXVTSRQPPOOOOOOPQRSTUVWXZ[]^`abdfgijklmopqstuuvwxyz{{{|}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\92\92\92\93\93\93\93\94\93\93\93\94\94\94\94\95\95\94\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\9a\9c\9d\9f¡¢¤¦©¬¯³·»¾ÂÆÊÍÑÔ×ÚÜßáãåçéêëíîïïðñññòòòòññðïïîíìëêèçåäâáßÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÅÄÄÃÂÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾¾½½½½¾¾¾½½½½½½½½½½½½½½½½¼¼¼¼¼¼»»»ºººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´³³³³³³²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨¨§§§¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤£££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡  \9f\9e\9e\9c\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{xuqnkheb`][YWUTRQPONNMMMMLMMNOOPQRSUVXY[\^_acdfhijkmnpqrstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                                                           ¡       ¡            ¡£¤¦§©«­¯²µ¹¼ÀÃÇÊÍÑÔ×ÙÜßáãåçèêëìíîïððññòòòòòòòñððïïîíëêéçæåäâàßÝÜÛÙØ×ÕÓÒÑÐÏÎÍÌËÊÉÈÈÇÆÆÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶¶µµµ´´´´´´³³³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡  \9f\9f\9e\9d\9c\9b\99\97\96\93\91\8f\8c\8a\87\84\81~{wtqnjgeb_][YWUSRPONNMMMLLLLMNNOPQRSUVXZ[\^_abdfgijkmnpqrstuvwxyzz{{|}}~~~~\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\94\94\94\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f      \9f                                  ¡¡¡¡   ¡¡¡¡¡¡¡¢£¥¦¨©«­¯²µ¸¼¿ÃÆÊÍÑÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòññðïïîíìëéèçåäãáàÞÜÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÁÁÀÀÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³²²²²²²±±±±±±±°°°°¯°°¯¯¯¯®®®¯®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««¬¬««««««««««ªªªªªªªªªª©ªªª©©©¨¨¨§¦¥¤£¢ \9e\9c\9a\98\95\92\8f\8d\89\86\83\80}yvrolifc`^\ZXVUSRQPPPPPOOPPQRSTUVWYZ\]_`acdfgijkmnoprstuvvwxyz{{{||}~~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯³¶º¾ÂÆÉÍÐÔ×ÙÜßáãåçéêëíîïïððñññññññððïîîíìëéèæåãâáßÝÛÚØ×ÖÔÓÑÏÎÍÌËÊÉÈÆÅÅÄÄÃÂÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµ´´´´´´³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªª©©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡   \9f\9f\9e\9e\9d\9b\9a\99\97\95\93\91\8e\8c\89\87\84\81~zwtqnjheb_][YWUSRPONNMMMMLLLMNOPPQRSUVXY[\^_abdfgijkmnoqrstuvwxyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëìîîïððñññññññðïîîíìëêèçåäâáàÞÜÚÙ×ÖÕÓÒÐÏÎÌËËÊÉÇÆÅÅÄÄÃÂÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ººººº¹¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±±±°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨©©©¨¨¨§§¦¥¥£¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\85\82\7f|xurnkheb`][YWVTSQQPPOOOOOOPQRSTUVWXZ[]^_abdeghjklmnpqrstuvwxxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\95\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«®²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçèêëìîîïððññññññññðïîîíìëéèæåãâáßÝÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÇÆÅÅÄÄÃÂÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼½¼¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸¸¸·····¶¶µµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¦¥¥¤¢¡\9f\9d\9b\99\97\94\92\8f\8c\89\86\82\7f|xuqnkheb`][YWVTRQPPOOOOOOOOPQRSTUVWYZ\]_`acdfhijklnopqrstuvwxxyyzz{||}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f                                       ¡¢¤¦§©ª¬¯²µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÉÈÈÇÇÆÅÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³²²²²²²±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££££££££££££££¢£££££££¢££££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡    ¡¡¡                   \9f\9f\9e\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zwspmjgda_\ZXVUSRPONNMMMLLLLMNNOPQRSUVXY[\]_abdfghjkmnoqrstuvwxyyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f       ¡¢¤¥§©ª¬¯²µ¸¼¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòòñððïïîíëêéçæåäâàßÝÜÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÆÆÅÄÃÃÃÃÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿ÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»ºººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµ´´´´³³³³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨§§¦¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\8a\86\83\80}zvspmjgda_][YWVTSRQQPPPPPPQRSTUUVXYZ\]_`abdeghjklmnpqrstuuvwxyzzz{{|}}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÓÖÙÜßáãåçéêëíîîïððñññññññðïïîíìëêèçåäãáàÞÜÚÙ×ÖÕÓÒÐÎÍÌËÊÉÈÇÅÄÄÃÃÂÁÁÀ¿¿¿¾¾¾½½¼¼¼½½¼¼¼¼¼¼¼¼¼¼»»»»¼¼¼»»»»»»»»»ºººººººº¹¹¹¹¹¹¸¸·······¶¶µµµµµµ´´³³³³³³²²±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬«««¬««««ªª««««ªªªªªªªªª©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8b\88\85\82~{xtqnkheb_][YWUTRQPOOOOONNOOPQRSTUVWYZ\]_`acefhijlmnoqrsttuvwxyyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\93\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\9a\9b\9d\9f¡¢¥§«®²¶º¾ÂÅÉÍÐÓ×ÙÜßáãåçéêëíîïïðññññññññððïîíìëêéçæäãâàÞÝÛÙØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½¼¼¼½½½½¼¼¼¼¼¼½¼¼¼¼¼¼¼¼¼»»»ºººººº¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´´³³³³³³²²²²²±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££££££££££££££¢££££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡                              ¡¡     ¡¡¡     ¡¡¡                                          \9f\9f\9f\9e\9e\9d\9c\9b\9a\98\96\95\93\90\8e\8b\89\86\83\80}zwtqnkheb`][YWUTRQPONNMMMMMMMNOPQRSTUWXZ[\^_acdfgijkmnoqrsttuvwxyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡¢¥§«®²¶º½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìîîïððñññññññðïîîíìëêèæåäâáßÞÜÚØ×ÖÔÓÑÐÎÍÌËÊÉÇÆÅÄÄÃÂÂÁÁÀ¿¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ª«««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8e\8b\88\85\81~{wtqmjgdb_][YWUSRQPOOONNNNOOPQRSTUVWYZ\]_`acdfgijklnopqrstuvvwxyyzz{{||}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\91\91\91\91\91\92\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\96\96\97\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡£¥¨«¯²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññññññðïïîíìëêèçåäâáßÞÜÚÙ×ÖÔÓÒÐÎÍÌËÊÉÈÇÅÄÄÃÃÂÁÁÀ¿¿¿¿¾¾¾½½½½½½½½¼¼¼¼½½½¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸·······¶¶µµµµµ´´´³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªªª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\91\8e\8b\88\85\82~{wtqnjgdb_][YWUTRQPOOOONNNOOPQRSSTUWXZ[]^_abdeghiklmnpqrsstuvwxyyyz{{||}}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢£¥§¨ª¬¯²µ¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòññðïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¿¿¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼»»»»ºººº¹¹¹¹¹¸¸¸····¶¶¶¶µµµµ´´´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡    ¡¡¡     ¡¡¡      ¡¡                                                                          \9f\9f\9f\9e\9e\9d\9c\9b\9a\98\96\94\92\90\8e\8b\88\86\83\80}yvsplifda^\ZXVTSQPONMMMLLLLLLMNOPQQSTUWYZ[]^`bcefhijlmnpqrstuvwwxyyzz{||}}}~~~\7f\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢¤¥§¨ª­¯²¶¹½ÀÄÈËÎÒÕØÚÝßâäæçéêìíîïïðñññòòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÂÂÂÂÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³³²²²²±±±±±±±°°°°°°¯¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££££££££££££££¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡     ¡¡                                                                                          \9f\9f\9f\9e\9d\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifca^\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]_`bdeghiklnopqrstuvwxyzz{{|}}}~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f     ¡£¤¦¨©«®±´·»¾ÂÅÉÌÐÓÖÙÛÞàâäæèéëìíîïððññòòòòòòòññððïîíìëéèçåäãáßÞÜÛÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½¾¾¾½½½¼¼¼¼¼¼»»»»»ºººº¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±°°±°°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§¨¨¨§§§¦¦¦¥¤¤£¡ \9e\9d\9b\99\96\94\91\8e\8c\89\86\82\7f|yurolifca^\ZXWUTRQQPPPOOOOPQQRSTUVXY[\^_`acefhijklnopqrstuvvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9b\9c\9e ¢¤§ª­±µ¹½ÁÅÈÌÏÓÖÙÜÞáãåçèêëìíîïððññññññññðïîíìëêéçæäãáàÞÝÛÙ×ÖÔÓÒÐÏÍÌÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾½½½¼¼¼¼¼¼¼»»»»»»»»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶¶¶µµµ´´´´´³³³²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««ªªª«ªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~{wtpmjgda_][YWUTRQPOOOONNNOOPQRSTUVWYZ\]_`acdfhijklnopqrstuvwxxyyzz{{||}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\98\99\9b\9c\9e ¢¤§ª®±µ¹½ÁÅÉÌÐÓÖÙÜßáãåçéêëíîïïðñññññòñññðïîîíìëéèæåãâàßÝÜÚØ×ÕÔÒÑÏÎÍËÊÉÈÇÆÅÄÃÃÂÂÁÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»»»»¼»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´³³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­­¬­­¬¬¬¬««¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~zwtpmjgda_\ZXWUSRQPOONNNNNNOPQQRSTVWYZ\]^`acdfgijklmopqrstuuvwxyyyzz{|||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\9a\9b\9d\9f ¢¥§«®²¶º¾ÁÅÉÍÐÓÖÙÜßáãåçéêëíîïïðñññññòñññðïîîíìëéèæåãâàßÝÛÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÃÂÁÁÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»»»¼¼»»»»»»»»»»»ººººººº¹¹¹¹¹¹¹¸¸······¶¶¶¶µµµµµ´´´´´³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡      ¡¡      ¡                                          \9f\9f\9f     \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\98\97\95\93\91\8f\8c\8a\87\85\82\7f|xurolifc`^\YWVTRQPONMMLLLLLLMMNOPQRSUVXZ[\^_acdfgijkmnoqrsttuvwxyzz{{|}}}~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f      ¡¢¤¥§©«­¯²µ¹¼ÀÄÇËÎÑÔ×ÚÜßáãåçéêëìíîïðñññòòòòòòòññðïïîíìëéèæåäâáßÝÜÚÙ×ÖÕÓÑÐÏÎÍÌËÊÈÇÇÆÆÅÄÄÃÂÂÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´³³³²²²²²²±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££££££££££££££££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡                                                                  \9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8b\88\85\82\7f|yvspmjgda_\ZXVUSRPONNMMMMMMMNOPQRSTUWXZ[]^`acdfhijlmnpqrstuvwxyzz{{||}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9b\9c\9e ¢£¦¨¬¯³·»¿ÂÆÊÍÑÔ×ÚÝßáäåçéêìíîïððñññññññññðïîíìëêéçæäãáàÞÝÛÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÅÄÃÃÂÂÁÀÀ¿¾¾¾¾½½½¼¼¼¼¼¼¼¼»»»»¼¼¼»»»»»»»»»»ºº»»»ººº¹¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³³²²²²²²±±±±±±°°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§¦¦¦¥¥¤££¢ \9f\9d\9b\99\97\95\92\90\8d\8a\87\84\80}zvspmjgda^\ZXVUSRQPOOOONNOOPQRSTUVWYZ\]_`acdfgijklnopqsttuvwxyyzz{{|}}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\91\91\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\94\94\94\94\95\95\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\98\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f                                          ¡¡     ¡¡¡¡    ¡¡¡¡    ¡¡¡¡    ¡¡¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¤¥§©ª¬®°³·º½ÁÄÈËÎÒÕ×ÚÝßáãåçéêëìîîïðñññòòòòòòòññðïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÒÑÏÎÎÌËÊÉÈÇÇÆÆÅÄÃÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»ºººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§¦¥¥¤£¢ \9e\9d\9b\98\96\94\91\8e\8b\88\85\82\7f{xuqnkheb`][YXVTSRQQPPPPPPQRSTUVWXY[\^_abcefhjklmnpqrsuuvwxyzz{{||}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§ª­±´¸¼ÀÃÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòññðïîîíìêéçæäãáàÞÜÛÙ×ÖÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÃÂÂÁÁÀ¿¿¿¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¹¹¸¸¸¸····¶¶¶¶µµµµµ´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¢ \9f\9d\9b\99\97\95\92\8f\8d\8a\87\83\80}zvsplifda^\ZXVUSRQPOOOOOOOPQRSTUVWXZ[]_`acdfgijlmnoqrstuvwxyzz{{||}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9b\9d\9e ¢¤¥¨ª­±´¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòññððïîíìëéèæåãâàßÝÛÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀ¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´´³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¥¤£¡ \9e\9c\9a\98\95\93\90\8d\8a\87\84\81~{wtqnjgeb_][YWVTSRQPPPPPPPQQRSTUVWYZ\^_`bcefhiklmnoqrstuvwxyzz{||}}~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f¡¢¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððññññòòòññðïîîíìëéèæåãâàßÝÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ººººº¹¹¹¹¹¸¸¸···¶¶¶¶¶µµ´´´´´´³³³²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®®­­®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨¨¨§§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤£££££££££££££££££¢¢£££££¢¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9e\9d\9c\9b\9a\98\96\94\92\8f\8d\8a\88\85\82\7f{xurokhfc`^[YWUTRQPONNMMMMMMNNOPQRSTVWY[\^_abdfhijlmoprstuvwxyz{||}}~\7f\7f\80\80\80\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\95\95\94\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f           ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢£££¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢££££££¢£££££££££££££££££££££££££££££££¤¤¦§©«¬®°²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòòòòòññðïïîíëêéçæåãâàßÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½¼¼¼»»»»»ººº¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««««««««ªªªªªªªªª©©©ªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§§¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤£££££££££££££££££¢¢£££££¢¢¢£££££¢¢¢££££££¢£££££££¢¢£££££¢¢¢¢££££¢¢¢¢££££¢¢¢¢££££¢¢¢¡¡ \9f\9e\9d\9c\9b\99\97\95\92\90\8d\8b\88\85\82\7f|yurolifc`^\ZXVTSQPOONNNNMMNNOPQRSTUWXZ\]^`aceghjkmnoqrtuvwxyz{||}}~~\7f\80\80\81\81\81\81\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9d\9e ¡£¥§©«®²µ¹½ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìêéçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀÀ¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¿¾¾¾¾½½½½½½½¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´´³³³³³³³²²²±²²²±±±°°±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««««««««ªªª©©¨§¦¥¤£¡\9f\9d\9b\99\96\93\91\8e\8b\88\84\81~zwspmjgda_\ZYWUTRQQPPPPPPPQQRSTUVXY[\^`abdegijkmnoprstuvwwxyz{||}}~~\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¹¼ÀÄÈËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòññððïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌÊÉÈÈÇÇÆÅÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»»»ºººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµµµµµ´´´³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««¬¬¬¬«««««««««ªª©©¨¨§¦¥£¢ \9e\9c\99\97\94\91\8e\8b\88\85\82\7f{xtqnkheb`^\ZXVUTSRRQQQQQQRSTUVWXYZ\]_`bcdfhiklmnpqrtuvvwxyz{{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9c\9d\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéêìíîïððñññòòòòññððïîîíìêéçæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿ÀÀÀÀÀ¿¿¿¿ÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµµ´´³³³³³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­­­­­­­­¬¬¬¬¬¬¬¬¬¬««¬¬¬«««ªª©©¨§¦¥£¢ \9e\9b\99\96\93\91\8e\8a\87\84\81}zvsplifca^\ZXWUTRQQPPPPPPPQQRSUUWXY[]^`abdegijkmnoprstuvwxyz{{||}}~\7f\7f\80\80\80\80\80\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¹¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÉÉÈÇÇÆÆÅÄÄÃÃÃÃÂÂÁÁÁÁÁÁÁÁÁÀÀÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµµ´´´´´´´³³³²²²³²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬­­­¬¬¬¬¬¬¬¬¬«««««««««ªª©©©¨§¦¥£¢ \9e\9b\99\96\94\91\8e\8b\88\84\81~zwspmjgda_][YWUTRQQPPPPPPPQRSTUVWXY[\^_abceghjklmopqstuvvwxyz{|||}~~\7f\7f\80\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\95\95\95\94\95\95\95\95\96\96\96\95\95\96\96\96\97\97\97\96\97\97\97\97\98\98\98\97\98\98\98\98\99\99\99\98\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±´¸¼ÀÃÇËÎÑÔ×ÚÝßâäæçéêìíîïððññññòòòññððïîîíìêéçæåãâàßÝÛÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÃÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿ÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµµ´´´´´´´´³³³²²²²²²±±±±±±±°°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬«««««««««««ªª©©¨¨§¦¥£¢ \9e\9b\99\96\94\91\8e\8b\88\84\81~zwspmjgda_\ZYWUTSRQQPPPPPPQRSTUVWXZ[]^`abdegijlmnoqrstuvwxyz{{|}}~~\7f\7f\80\80\80\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f¡¢¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéêìíîïððñññòòòòòñððïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍËÊÉÈÇÇÆÆÅÄÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿ÀÀÀÀÀÀ¿¿¿¿ÀÀ¿¿¿¿¿¿¿¿¾¾¾½½½¾½½½¼¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµµµµµ´´³³³³³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªª©©¨§¦¥£¢ \9e\9c\99\97\94\91\8e\8b\88\85\81~zwspmjgda_\ZYWUTRQQPPPPPPPPQRSTUVWYZ\]_`acdfhiklmnpqrtuuvwxyz{{||}}~~\7f\7f\7f\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìëéèçæäãáàÞÜÛÚØ×ÖÔÒÑÐÏÎÍÌËÉÉÈÇÇÆÆÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¿¿¿¿¾¾¾¾½¾¾¾½½½¼¼¼¼¼¼¼»»»»»ººº¹¹¹¹¸¸¸¸·····¶¶¶µµµµµµµ´´´´´´´³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««««««««««ªªª©©¨§¦¥¤¢ \9e\9c\9a\97\94\92\8f\8c\89\85\82\7f{xurnkhec`^\ZXVUTSRQQQQQQQRSTTUVWYZ\]_`acdfgijlmnoprstuvwwxyz{|||}~~\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\83\83\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9d\9e ¢¤¥§ª­±´¸¼ÀÃÇËÎÑÕ×ÚÝßâäæçéêìíîïððññññòòòññððïïîíìêéçæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿ÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¿¿¿¾¾¾½½½¾½½½½¼¼¼¼¼¼»»»ºººººº¹¹¹¸¸¸¸···¶¶¶¶¶¶µµµµµµµ´´´³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ª«««««ªªªª©©¨§¦¥£¢ \9e\9c\99\97\94\91\8e\8b\88\84\81~zwspmjgda_][YWUTRQQPPPPPPPPQRSTUVWYZ\^_`bcefhiklmnpqrsuuvwxyz{{||}}~\7f\7f\7f\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f                           ¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòñññððïîíìêéèçæäãáàÞÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÆÆÆÆÅÅÅÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³³³³³²²²±²²²±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®®­­®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¦¦¥¥¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤£££££¤¤¤£££££¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢£££££££¢¢¢¡¡ \9f\9e\9d\9b\99\97\95\93\91\8e\8b\88\86\82\7f|yvrolifca^\ZXVTSQPOONNNMMMMNOPQRSTUVXZ[]^_acdfhiklmoprstuvwxyz{||}}~~\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                                          ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïðñññòòòóóòòòññððïîíëêéèçåäâáßÞÜÛÚØ×ÕÔÓÒÑÐÏÍÌËÊÊÉÉÈÇÆÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½¼¼¼¼¼»»»ºººº¹¹¹¸¸¸·····¶¶¶¶¶¶µµµ´´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««¬¬««««««««««ªª©©¨¨§¦¥£¢ \9e\9b\99\96\94\91\8e\8b\88\84\81~zwtpmjgda_\ZXWUSRQQPPPPOOPPQRSTUVWYZ\]_`acdfhijlmnoqrstuvwxxyz{{||}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9b\9c\9e ¢£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïïðñññññòñññðïïîíìëêèçæåãâàßÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼»»»»»»ººº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´´´´³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««¬¬«««««««««ªªªªªªªªªª©©¨¨§¦¥¤¢¡\9f\9d\9b\98\95\93\90\8d\8a\87\84\80}zvspmifda_\ZYWUTSRQQPPPPPPQRSTUVWXY[]^`abdegijkmnopqstuvvwxyz{{||}}~~\7f\7f\80\80\80\80\81\81\81\81\82\81\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\92\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\94\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\99\99\9a\9b\9c\9e ¡£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòññððïîîíìêéçæåãâàßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÁÁÁÁÀÀÀ¿¿ÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸¸······¶¶µµµµµµµ´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬««««««««««ªªªªªªªªª©©©¨§§¦¥¤¢ \9f\9d\9a\98\95\93\90\8d\8a\87\83\80}yvrolifc`^\ZXVTSRQPPOOOOOOPQRSTUUWXZ[]^`abdfgijklnoprstuuvwxyzz{{||}~~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\9a\9b\9c\9e ¢£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòòñððïîîíìêéçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÃÂÂÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½½½¼½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´´³³³³³³²²²²²²²±±±±±±±°°°¯¯¯°°¯¯¯¯®¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªª«««ªªªªªªªªª©©©¨¨§§¦¥£¢ \9f\9d\9a\98\95\93\90\8d\8a\87\84\81}zwspmjgda_][YWVTSRQQPPPPPPQRSSTUVWYZ\]_`acdfgijkmnoprstuuvwxyzz{{||}~~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§ª­°´·»¿ÃÆÊÎÑÔ×ÚÝßáãåçéêëíîïïððññññññññðïïîíìëéèæåäâáßÞÜÚÙ×ÖÕÓÒÐÏÎÍÌËÊÈÇÆÆÅÅÄÃÃÂÁÁÁÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½¾¾½½½½½½½¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµ´´´³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªª©©ªªªª©©©¨¨§§¦¥£¢ \9f\9d\9a\98\95\93\90\8d\8a\87\84\80}yvsolifc`^\ZXVUSRQPPPOOOOPPQRSTUVWYZ\]_`acdfgijlmnoqrstuvwxyzz{||}}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¥§ª­°´·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòòñððïîîíëêèçæäãáàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÂÁÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼»»º¹¹·¶´³±®¬©§¤¡\9d\9a\97\93\90\8c\89\85\82~{wtpmjheca`^\[ZZYYYYYYZ[\]^_`abdeghiklmoprstuvwyz{|}}~\7f\80\80\81\82\82\82\83\83\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\87\87\88\88\87\87\88\88\88\88\88\88\88\88\88\89\89\89\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéêìíîïððñññòòòòòñððïïîíìêéçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½¾½½½½¼¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±±±±±°°°¯¯¯°¯¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££¤£££££££££££££££££££££££££££££££££££££££££££££££££££¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢££££££¢£££££££££££££££££££££££££££££££££££¤¤££££££££££££££££££¢££££££¢¢£££££££££££££££££££££££¢£££££££¢£££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢££££¢¢¢££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¡¡  \9f\9e\9c\9b\99\97\95\93\91\8e\8b\89\86\83\80}zvspmjgda_\ZXVUSRPOONNNNMMNNOPQRRSUVXY[\^_abdfgijlmnpqstuvwxyz{||}}~\7f\7f\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f                                           ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡  ¡¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££££¤¤¤¤¥¥¥¦¥¦¦¦¦¦¦¦¥¥¥¤¤¤£¢¡  \9f\9e\9e\9d\9c\9b\9a\99\99\98\97\96\95\94\94\93\92\92\91\91\90\8f\8f\8f\8e\8e\8e\8e\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\91\91\91\92\92\92\92\93\93\93\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\97\97\97\96\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9a\99\98\97\95\93\91\8f\8d\8b\88\85\83\80}zwtpmjgeb_][YWUSRPONMLKKJIIIHHHHGGGGGGGGGGGGGGGHIKNRUY^bhnu|\83\8a\92\99 §®´ºÀÅÊÏÓ×ÛÞáäæéëìîïðñòóóôôôôõõõõôôóòðîìêèåâÞÛ×ÓÏËǾ¹µ±­©¦¢\9f\9c\99\97\95\93\91\90\8f\8e\8d\8d\8c\8d\8d\8d\8e\8e\8e\8f\90\91\92\93\93\94\95\96\97\98\99\99\9a\9b\9b\9c\9c\9d\9e\9e\9f\9f\9f\9f\9f         ¡¡¡       \9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f                                                                   ¡¡     ¡¡¡     ¡¡¡     ¡¡¡    ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¢£¥¦§©ª¬®±´·»¾ÁÅÈÌÏÒÕØÚÝßáãåçéêëìíîïððññòòóóóóóóóóóóóóòòñññððïîíììëêéèçæåäãâáàßÞÝÜÛÛÚÙØ×ÖÖÕÔÔÓÒÑÑÑÐÐÏÏÎÎÍÍÍÍÍÌÌËËËËËËÊÊÉÉÉÉÉÉÈÈÈÇÇÇÇÇÆÆÅÅÅÅÅÄÄÃÃÃÂÂÂÂÁÁÀÀÀÀ¿¿¿¾¾¾½½½½½¼¼¼»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶¶¶¶µµµµ´´´´´´´³³³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªª«««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££££¤£££££££££££££££££££££££££££¢£££££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{xtqnkheb`][YWUTRQOONNMMMMMMMNOPQRSTVWYZ\]_`bdfgijkmnpqstuvwxyz{||}}~\7f\7f\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f      \9f               \9f                                          ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡ ¡¢£¥¦¨©«­¯²µ¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáßÞÜÛÚØ×ÕÔÓÑÐÏÎÍÌËÊÉÉÈÇÇÆÅÅÄÄÄÃÃÃÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡  \9f\9e\9d\9c\9b\99\98\96\94\91\8f\8c\8a\87\84\81~{xtqnkheb`][YWUTRQPONNNMMMMMNOOPQRSUVXY[\^_abdfgijklnoqrstuuvwxyzz{{|}}~~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                                       ¡¢£¤¦¨©«­¯²µ¹¼ÀÃÇÊÍÑÔ×ÙÜßáãåçèêëìíîïððññòòòòòòññððïïîíìêéèæåäâáßÝÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÈÇÆÆÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÁÁÀÀÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³²²²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9d\9b\9a\99\97\95\93\91\8e\8c\89\86\83\80}zwtpmjgdb_]ZXVUSRPONNMMMMLLLMNOPQQRSUVXZ[\^_abdfghjklnoqrsttvwxyyzz{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\96\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                ¡£¤¦§©ª¬¯²µ¸¼¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòññððïîîìëêèçæåãâàßÝÜÚÙØÖÕÓÒÑÐÏÎÍÌÊÉÉÈÈÇÆÆÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼»»»»ºººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±±±±±±°°°¯¯°¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zwspmjgda_]ZXVUSQPONMMMMLLLLMMNOPQRSUVXY[\^_acdfgijkmnoqrsttvwxyyzz{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                                                       ¡¢¤¥§¨ª¬¯±µ¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððñññòòòòòñððïïîíìëéèçåäãáßÞÜÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼¼¼»»ººººº¹¹¹¸¸¸····¶¶¶µµµµµ´´´´´´´³³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªª©©©©©©©©©©¨¨§§¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80|yvrolifc`^\ZXVUSRQPPPPPPPPPQRSTUVWYZ\]_`acdfgijklnoprstuuvwxyz{{{||}~~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññññññððïîííìêéçæåãâàßÝÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÇÆÅÅÄÃÃÂÁÁÀÀÀ¿¿¿¾¾½½½½½½½½½½½½½½½¼¼¼¼½½¼¼¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸¸······¶¶¶µµµµµ´´´³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   \9f\9f\9e\9e\9d\9b\9a\99\97\95\93\90\8e\8c\89\86\83\80}zwtqmjgeb_][YWUSRPONNMMMMLLLMNNOPQRSUVXY[\]_abdfghjklnoprsstuvwxyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f                        ¡¢¤¥§¨ª¬®±´¸»¿ÂÆÉÍÐÓÖÙÜÞàãåæèêëìíîïððñññòòòòòññððïîíìëêèçæåãâàÞÝÛÚØ×ÖÔÒÑÐÏÎÍÌÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼»»»»»»ººº¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡      ¡¡     ¡¡¡     ¡¡¡   \9f\9f\9f\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zwspmjgda_\ZXVUSQPONMMMLLLLLMMNOPQRSTVWYZ\]^`bdeghijlmopqrstuvwxyyz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f      \9f        ¡¢¤¥§©ª¬¯±µ¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððñññòòòòòñððïîîíìêéçæåäâáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÉÈÈÇÇÆÆÅÄÄÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶¶µµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡     ¡¡¡                                           \9f\9f\9f\9e\9d\9c\9b\9a\98\97\95\92\90\8e\8b\89\86\83\80}zvspmjgda_\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]_`bdeghiklnoprsstuvwxyzz{{|}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f ¡¢¤¥§¨ª¬¯±µ¸¼¿ÃÆÊÍÐÔÖÙÜÞáãåçèêëìíîïððññòòòòòòññððïîíìëêèçæåãâàÞÝÛÚÙ×ÖÔÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÃÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬«««¬««««ªªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££££¤££££££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡      ¡               ¡¡     ¡¡¡                                                  \9f\9f\9f\9e\9e\9d\9c\9b\9a\98\96\94\92\90\8e\8b\88\86\83\80}zvspmjgda_]ZXVUSRPONNMMMMLLLMNOPQRSTUWXZ\]^`acefhiklmoprsttuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\82\82\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f ¡¢£¥§¨ª¬¯²µ¸¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòññððïîíìëéèçæäãáàÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌÊÉÈÈÇÆÆÅÄÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼¼»»»»ºººº¹¹¹¸¸¸¸¸····¶¶¶¶µµµµµ´´´³³³³³³³²²²±±±±±±°°°°°°°¯¯¯®¯¯®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨©©¨¨¨¨§§¦¥¥¤¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\85\82\7f{xuqnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWYZ\]^`acdfgijklmopqrstuvwwxyyzz{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨«¯³·º¾ÂÆÊÍÑÔ×ÚÜßáãåçéêëíîïïððññññññððïîííìêéèæåãâàßÝÜÚØ×ÕÔÓÑÐÎÍÌËÊÉÈÇÅÅÄÃÃÂÂÁÀÀ¿¿¿¿¾¾½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸·····¶¶¶µµµµ´´´´³³³³²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤£££££££££££££££££¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡      ¡¡     ¡¡¡      ¡¡                                         \9f\9f\9f     \9f\9f\9f     \9f\9f\9f             \9f\9f\9f\9e\9e\9d\9c\9c\9a\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifda^\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]^`bdeghiklmopqrstuvwxyyzz{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢¤¥§©ª­°³¶¹½ÁÄÈËÏÒÕØÛÝßâäæçéêìíîïïðñññòòòòòòññððïîíìëéèçæäãáàÞÜÛÚØ×ÕÔÒÑÐÏÎÍËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÁÁÁÀÀÀÀÀ¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼¼»»»»ºººº¹¹¹¹¸¸¸¸····¶¶¶¶µµµ´´´´³³³²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©ªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡     ¡¡¡                                                                  \9f       \9f      \9f\9f      \9f\9f\9f\9e\9e\9d\9c\9b\9a\99\98\96\94\92\90\8d\8b\88\86\83\80}zvspmjgdb_]ZXWUSRPONNMMMMMMMMNOPQRSTUWXZ[]^_acefhijkmnpqrstuvwxyyzz{||}}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\95\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢¤¦§©«­°´·º¾ÂÅÉÌÐÓÖÙÛÞàâäæèéëìíîïððññòòòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡                                                                                 \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9e\9e\9d\9c\9c\9a\99\98\96\94\92\90\8d\8b\88\85\83\80}yvspmjgda_\ZXVUSRPONNMMMLLLLMMNOPQRSTVXY[\]_`bdeghiklmopqrstuvwxxyyzz{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\90\90\90\91\91\91\91\92\92\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f ¢¤¥§©«®±´·»¿ÂÆÉÍÐÓÖÙÜÞáãåçèêëìíîïðñññòòòòòòòñððïïîíìêéèæåäâáßÝÜÚÙ×ÖÕÓÑÐÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÂÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ººº¹¹¹¹¹¸¸¸¸·····¶¶¶¶¶¶µµ´´´´´´´³³²²²²²²±±±±±°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§¨¨§§§¦¦¦¥¤£¢¡ \9e\9c\9a\98\96\93\90\8e\8b\88\85\81~{wtqnkgeb_][YWUTRQPPOOOOOOOOPQRSTUVXY[\]_`acefhijklnopqrsttuvwxyyyzz{|||}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\9a\9b\9d\9f¡£¥¨«®²¶º¾ÂÆÊÍÑÔ×ÚÝßáäåçéêìíîïððññññòòñññðïîîíìëéèæäãâàßÝÛÙØÖÕÓÒÐÏÍÌËÊÉÈÇÅÄÃÃÂÁÁÀ¿¿¾¾¾½½½¼¼¼¼¼¼¼¼»»»»»»»»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸¸¸····¶¶¶¶¶µµµ´´´´³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8b\88\85\81~{xtqnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWY[\]_`acdfgijklmopqrsstuvwxxyyzz{|||}}}}~~~~~~\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡£¥¨«¯²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêìíîïïðñññññññññðïîíìëêéçæäâáàÞÜÛÙ×ÕÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾½½½¼¼¼¼¼¼¼¼»»»»»»»»»»º»»»»»»ºººººººº¹¹¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬«««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤££¤¤¤¤££££££££££££££££££¢¢£££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡   ¡¡¡¡                                                  \9f      \9f\9f\9f     \9f\9f      \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9c\9b\99\98\97\95\93\91\8f\8c\8a\87\84\81~{xurolifc`^\YWVTRQPONMMLLLLLLMMNOPQRSUVXZ[\^_acdfgijkmnoqrsstuvwxyzz{{||}}}~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f\9f                  ¡¡¡¡¡¢£¥¦¨©«­°³¶¹½ÀÄÇËÎÑÔ×ÚÝßáãåçéêëìíîïðñññòòòòòòòññðïïîíìêéèæåäâáßÝÜÚÙ×ÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¿¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸··¶¶¶¶¶µµµ´´´´´³³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤££¤¤¤¤£££££££££££££££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡      ¡¡                         \9f\9f\9f     \9f\9f              \9f\9f\9f    \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9d\9c\9c\9b\9a\98\97\95\93\91\8f\8d\8a\87\85\82\7f|yurolifca^\ZXVTRQPONMMMLLLLLMNOPQRSTVXY[\^_abdfgijkmnoqrstuvwxyz{{||}}~~\7f\7f\7f\7f\80\80\80\80\81\81\80\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f                                                   ¡      ¡¡¡    ¡¡¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¢¤¥§¨ª¬®°³¶º½ÁÄÈËÎÒÕØÚÝßáãåçéêëíîîïðñññòòòòòòòññðïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÂÂÂÁÁÁÀÀÀÀÀÀÀÀ¿¿ÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸····¶¶¶µµµ´´´´´³³³²²²²²²±±±±±±°°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤£££££££££££££££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡                                 \9f\9f\9f     \9f\9f\9f     \9f\9f\9f    \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9d\9d\9c\9b\9a\99\97\95\93\91\8f\8d\8a\88\85\82\7f|yvsolifda^\ZXVTSQPONNNNMMMNNOPQRSTUWXZ\]_`acefhjklmopqstuvwxyzz{||}}~~\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f      \9f\9f                                  ¡¡     ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¦¨©«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëíîîïðñññòòòòòòòòñððïîíìëéèçåäãáßÞÜÛÙØ×ÕÓÒÑÐÏÎÍËÊÉÈÈÇÇÆÅÅÄÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾½½½½½½¼¼¼»»»»»ºº¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££¢¢£££££¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  \9f\9f\9e\9e\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifca^\ZXVTSQPONNNMMMMMNNOPQRSTVWY[\^_abdfgijlmnpqstuvwxyz{{||}~~\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                          ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢£££¢¢£¤¥§¨ª¬­¯²µ¸»¾ÂÅÉÌÏÒÕØÛÝàâäæçéêëíîïïðñññòòòòòòòññððïîíìëéèçæäãáàÞÜÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÉÈÈÇÆÅÅÄÄÄÄÃÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½¼¼»»»»»»ººº¹¹¹¹¹¸¸······¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªª««««ªªªªªªªªª©©¨¨§§¦¥¤¢¡\9f\9d\9b\98\96\93\91\8e\8b\88\84\81~zwtpmjgda_][YWUTRQQPPPPPPPQRSTUVWXY[]^`abdegijkmnoprstuvwxyz{{||}}~~\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¹¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìêéçæåãâàßÝÛÚØ×ÖÔÓÑÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸·····¶¶¶µµµµµµ´´´³³³³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§¨§§§§§§§§§§§¦¦§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££¤¤£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¡¡¡ \9f\9e\9d\9c\9b\99\97\95\93\90\8e\8b\88\85\83\7f|yvspmjgda_\ZXWUSRQPOOOONNNOOPQRSTUVXY[\^_abdfgiklmnpqstuvwxyz{||}}~~\7f\80\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\84\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f                 ¡¡¡     ¡¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢£££££¢¢¢£££££££££££££££££££££££££££££££££££££££££££££££££¤¤¤£££££¤¤¤££¤¤¦§©«¬®°²µ¸»¾ÂÅÉÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòòòòòññððïîíëêéèçåäâáßÞÝÛÚÙ×ÕÔÓÒÑÐÏÎÌËËÊÊÉÈÈÇÆÆÆÆÅÅÅÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸···¶¶¶¶¶¶µµµ´´´´´´³³³³³³³²²²±±±±±±±±°°°°°°°°¯¯¯°°¯¯¯¯¯¯¯¯¯®®®®®®®®®®­­­®®­­­­­­­­¬¬¬«««¬««««««««««ªªªªªªªªªª©©ªªªª©©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤¤££££¤¤¤££££££££££££££££££¢£££££££££££££££££££££££££££££££¢¢¢¡¡ \9f\9e\9d\9b\99\97\95\93\90\8e\8b\88\85\82\7f|yurolifc`^\YXVTSQPOONNNNMMMNOPQRRSUVXZ[]^`acefhjklmoprstuvwxyz{|}}~~\7f\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\94\94\93\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                   ¡¡    ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢££££££££££££££££££££££££££££££££¤¦§©ª¬­¯²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòòòòññððïîîìëêéèæåäâáßÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍÌËËÊÉÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½½½½¼¼¼»»»»»ººº¹¹¹¹¸¸¸¸·····¶¶¶µµµµµµµ´´´´´´´³³³³²³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬­­­­¬¬¬¬¬¬¬¬¬««««¬¬««««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¢¢¡ \9f\9e\9d\9b\99\97\95\93\90\8e\8b\88\85\82\7f|xurnkheb`][YWUTRQPONNNNMMMMNOOPQRSTVWY[\^_abdfgijkmnpqstuvwxyz{||}}~\7f\80\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\93\94\94\94\94\95\95\95\94\95\95\95\95\96\96\96\95\96\96\96\96\97\97\97\96\96\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìëéèçæäãáàÞÜÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÃÃÂÂÁÁÁÁÁÁÁÁÀÀÀÀÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµµ´´´´´´³³³²²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬­­­¬¬¬««¬¬¬««««««««««ªªª©©¨§¦¥£¢ \9e\9c\99\97\94\91\8e\8b\88\85\82~{xtqnkheb`^\ZXVUTSRQQQQQQQRSTUVWWYZ\]_`acdfgijlmnoprstuvwwxyz{|||}}~\7f\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f           ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢£¤¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáäåçéêëìîîïðñññòòòòòòòòññððïîíìêéèçæäãáàÞÝÜÚÙ×ÖÕÓÒÑÐÏÎÍÌËËÊÉÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµµµµµ´´´³³³³³³³²²²²²²²²±±±±±±±°°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬­­­­¬¬¬¬¬¬¬¬¬««ªª©©¨§¥¤¢ \9e\9c\99\97\94\91\8e\8b\88\84\81~zwspmjgda_][YWUTRQQPPPPPPPQQRSTUVWY[\^_`bceghjklmopqrtuvvwxyz{{||}}~\7f\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\95\94\94\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f ¢¤¦¨ª­±´¸¼ÀÃÇËÎÑÕØÚÝàâäæèéëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌÊÉÈÈÇÆÆÅÄÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿ÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµµµµµ´´´³³³³³³³³²²²²²²²±±±°°°°°°¯¯¯¯¯¯¯¯¯®®¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªª«««ªªªªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤££££££¤¤££££££¤¤£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¡¡ \9f\9e\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|yurolifc`^\ZXVTSQPOONNNNMMNNOPQRSTUVXZ[]^_acdfhiklmoprstuvwxyz{||}}~\7f\7f\80\80\80\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\87\88\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f       \9f                                           ¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢£¤¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáäåçéêëìîîïðñññòòòòòòòññððïïîìëêéèçåäâáßÞÝÜÚÙ×ÖÔÓÒÑÐÏÎÍÌËËÊÉÉÈÇÇÆÆÆÅÅÅÄÄÄÄÄÄÃÃÃÂÂÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶¶µµ´´´´´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬­­¬¬¬¬¬¬¬¬¬««««««««««ªª««««ªªªªªªªªª©©©©ªª©©©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤£££££££££££££££££££££££££££££££££££££££¤¤£££££¤¤¤££££££££££££££££££££££££££££££££££¢¢¢¡¡ \9f\9e\9d\9b\99\97\95\93\91\8e\8b\88\86\83\80|yvspmjgda_\ZXVUSRPPONNNNNMNNOPQRRSUVXY[\^_abdfgijlmnpqstuvwxyzz{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\83\84\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f      \9f                                    ¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«¬®±´·º½ÁÄÈËÎÑÔ×ÚÝßáãåçéêëìíîïðñññòòòòòòòññððïîîìëêéèæåäâàßÞÜÛÚØ×ÕÔÓÒÑÐÏÍÌËÊÊÉÉÈÇÆÆÆÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾½½½½½¼¼¼»»»»»ºº¹¹¹¹¹¹¸¸······¶¶¶¶¶¶µµµ´´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££¤¤¤£££££¤¤¤£££££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢££££££¢¢¢¢¡  \9f\9d\9c\9b\99\97\95\92\90\8d\8b\88\85\82\7f|xurnkhec`][YWUTRQPONNMMMMLMMNOPQRSTUWXZ\]^`bceghjklnoqrstuvwxyz{{||}}~\7f\7f\7f\7f\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f      \9f                                                          ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¦¨©«¬®±³·º½ÁÄÈËÎÑÔ×ÚÝßáãåçèêëìíîïðñññòòòòòòòññððïîíìëéèçæåãáàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÉÉÈÇÇÆÅÅÅÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾½½½½½½¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸····¶¶¶¶µµµµ´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬««««««««««ªª©©¨¨§¦¤£¡\9f\9d\9b\99\96\93\91\8e\8b\87\84\81}zvsplifca^\ZXWUSRQQPPPPPPPQQRSTUVWYZ\]_`bcefhjklmopqrtuuvwxyz{{||}}~\7f\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§ª­°´·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòññððïîîíëêéçæåãâàÞÝÛÚØ×ÖÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´´´´´³³²²²²²²²±±±°°±°°°°¯¯¯¯¯¯¯®®®®®®®®®­­­®­­­­¬¬­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªª©©©ªª©©©¨¨¨§¦¦¥£¢ \9f\9d\9a\98\95\93\90\8d\8a\87\83\80}yvsolifc`^\ZXVUSRQPPPPOOOPPQRSTUVWXZ[]^`abdegijklnoprstuuvwxyz{{{|}}~~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9b\9c\9e ¡£¥§©¬°³·»¿ÃÆÊÍÑÔ×ÚÜßáãåçéêëíîïïðññññòòòññðïïîíìëêèçæäãâàÞÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÉÈÇÆÆÅÅÄÃÂÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµµ´´´´´³³³³²²²²²²²±±±±±±±°°°°¯°°°¯¯¯¯¯¯¯¯®®®­­­®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££££££££££££££££££££££££££££££¢££££££¢¢££££££¢¢¢££££¢¢¢¢¢££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{xtqnkheb_][YWUSRPONNMMMMMMMMNOPQRSTUWYZ\]_`bdeghjklnoqrstuvwxyz{{||}~~\7f\7f\7f\80\80\80\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f     \9f                                                  ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¤¥§©ª¬®°³¶º½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæåãâàÞÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÈÈÇÆÅÅÅÄÄÄÃÃÂÂÂÂÂÂÂÂÁÁÁÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½¼¼»»»»»ººº¹¹¹¹¸¸¸···¶¶¶¶¶¶µµµµµµµ´´´³³³³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®¯¯®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««««ªªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡  \9f\9e\9d\9c\9a\98\96\94\92\90\8d\8a\88\85\82\7f|xurolifc`^\YXVTSQPOONNNNMMNNOPQRSTUWXZ[]^`acefhiklmoprstuvwxyzz{||}}~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\82\83\83\83\83\83\84\84\83\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f                                         ¡¡¡     ¡¡¡      ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¢£¥¦¨©«­°²µ¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍÌËÊÉÉÈÈÇÆÅÅÅÅÄÄÄÃÃÃÃÃÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸·····¶¶¶¶µµµµµµ´´´³³³³³³²²²²±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡  \9f\9e\9d\9c\9a\99\97\95\92\90\8d\8b\88\85\82\7f|xurolifc`^\YWVTSQPONNNMMMMMMNOPQRRTUWXZ[]^_acefhijlmopqrstuvwxyz{{||}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¤¦©¬¯³·»¾ÂÆÊÍÐÔ×ÚÜßáãåçéêëíîïïððñññññññððïîíìëêéçæäãâàßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÆÅÄÄÃÃÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¹¹¹¸¸¸¸······¶¶µµµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««««««««ªªªªªªªªª©©©©©©©©©¨¨¨§¦¥¤£¢ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80|yurolifc`^\ZXVTSRQPPOOOOOOPQRSTUVWXZ\]_`acdfgijkmnoprstuuvwxyzz{{||}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\86\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9c\9d\9f¡¢¤¦©¬¯³·»¾ÂÆÊÍÑÔ×ÚÜßáãåçéêëíîïïðññññòòòññðïïîíìëêèçåäãáàÞÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÇÆÆÅÅÄÃÃÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªª«ªªªªªªªªªª©©©©©©©©©©¨¨§§¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80|yurokheb`][YWVTSQPPOOOOOOOOPQRSTUVWY[\]_`acefhijlmnpqrstuuvwxyzzz{||}}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9b\9d\9f ¢¤¦¨¬¯³¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëìîîïððññññòñññðïïîíìëêèçåäãáàÞÜÚÙØÖÕÓÒÐÏÎÍÌËÊÈÇÆÆÅÄÄÃÃÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼»»»»ºººº¹¹¹¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©ªªª©©©©©©©©©©¨¨§§¦¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80}yvsolifca^\ZXWUTRQQPPPPPPPQRSTUVWXY[]^_abceghjklmnoqrstuvvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\98\98\98\98\99\9a\9b\9d\9f ¢¤¦©¬¯³·»¿ÂÆÊÍÑÔ×ÚÜßáãåçéêëíîïïðñññññññññðïîîíìëéèæåãâàßÝÛÚØ×ÕÔÓÑÏÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÁÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼»»»»»»»ººººººº¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµµ´´´´³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨§§¦¥¤£¡ \9e\9c\99\97\94\92\8f\8c\89\86\83\7f|xurnkheb`][YWVTSQPPOOOOOOOOPQRSTUVXY[\^_`bcefhijlmnoqrsttuvwxyzz{{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\87\88\88\88\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9f ¢¤¦¨¬¯³·º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññòòñññðïïîíìëéèæåäâáßÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÅÄÄÃÂÁÁÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½¾¾¾½½½½½½½½½½¼¼½½½¼¼¼¼»»»»»»ºººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³³³²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©ªªª©©©©©©©©©©¨¨§§§¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80|yvrolifc`^\ZXVTSRQPPOOOOOOPQQRSTUVXY[\^_`bcefhijkmnoqrsttuvwxyyzz{{||}}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\9a\9c\9d\9f¡¢¤¦©¬¯³¶º¾ÂÆÉÍÐÔ×ÙÜßáãåçéêëìîîïððñññññññðïïîíìëêèçåäãáàÞÝÛÙØÖÕÔÒÑÏÎÍÌËÊÉÈÆÅÅÄÄÃÃÂÁÀÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½¾¾¾½½½½½½½½½½¼¼¼½½¼¼¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨§§¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\89\86\83\7f|yurolhfc`^\ZXVUSRQPPPOOOOOPQRSTUVWXZ[]^`abdfgijklmopqsttuvwxyzz{{||}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\99\9a\9b\9d\9f ¢¤¦¨¬¯³¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññòòòññðïïîíìëéèæåäâáßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµ´´´´´´³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©¨¨¨§§¦¦¥¤¢¡\9f\9e\9b\99\97\94\92\8f\8c\89\86\82\7f|xurnkheb`][YWVTRQPPOOOOOOOOPQRSTUVXY[\^_`bcefhijkmnoqrsttuvwxyyzzz{||}}}}~~~\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯³¶º¾ÂÆÉÍÐÔ×ÙÜßáãåçéêëíîîïððññññòñññðïïîíìëéèæåäâáßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾¾½½½½¾¾¾½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³²²²²²±±±±±±±°°°°°°°¯¯¯®®®¯®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨§§¦¦¥¤¢¡\9f\9e\9c\99\97\95\92\8f\8c\89\86\83\80}yvspmjgda_][YWUTSRQQPPPPPPQRSTUUVXYZ\]_`acdfgijklnopqsttuvwxxyzz{{||}}~~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëíîîïððñññññññððïîîíìêéçæåãâàßÝÛÚØ×ÕÔÓÑÏÎÍÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½¼¼½½½½¼¼¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸¸······¶¶¶¶¶¶µµµ´´´´´´³³³³³³²²²±±±±±±±°°°°°°¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¦¥¤£¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\86\82\7f|xurnkheb`][YWVTSQPPOOOOOOOPPQRSTUVXY[\^_`bcefhijkmnoqrsttuvwxyyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\9b\9c\9e ¢£¥¨«¯²¶º¾ÂÆÉÍÐÓÖÙÜßáãåçéêëíîîïððñññññññððïîîíìëéèæåãâàßÝÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÇÆÅÅÄÄÃÂÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­®®®­­­­¬­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§¦¦¥¤£¢¡\9f\9d\9b\99\97\94\92\8f\8c\89\86\83\7f|xurnkheb`][YXVTSQQPPOOOOOOOPQRSTUVWYZ\]_`acefhijklnopqrstuvwwxyyzz{{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÓÖÙÜßáãåçéêëìîîïððñññññññðïïîíìëêéçåäãáàÞÝÛÙØÖÕÔÒÑÏÎÍÌËÊÉÇÆÅÅÄÃÃÂÁÁÀÀÀ¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¸¸¸¸·····¶¶¶¶µµµµ´´´´´³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¤£¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\86\82\7f|xurnkhec`^[YXVTSQQPOOOOOOOPQRSTUVWXZ[]^`abdeghjklmopqrstuvwxyyzz{{||}~~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\96\96\97\97\97\97\97\97\97\98\99\9a\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññññññððïîííëêéçæäãâàßÝÛÙØ×ÕÔÒÑÏÎÍÌËÊÈÇÆÅÄÄÃÃÂÁÀÀ¿¿¿¿¿¾¾½½½½½½½½¼¼½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸¸·····¶¶µµµµµµ´´³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¤£¢¡\9f\9d\9b\99\97\94\91\8e\8c\88\85\82\7f{xtqnkheb_][YWUTRQPPOOOOONOOPQRSTUVWYZ\]_`acdfhijklnoprsstuvwxxyzzz{{|}}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëíîïïððññññòñññðïîîíìêéçæåãâàßÝÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÇÆÅÅÄÃÃÂÁÁÀÀ¿¿¿¿¾¾¾½½¾½½½½½½½½½½½¼¼¼¼½½¼¼¼¼¼¼¼¼¼»»»»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´´³³³³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¤£¢¡\9f\9d\9b\99\97\94\92\8f\8c\89\86\83\80|yvsplifda_][YWUTSRQQPPPPPPQRSSTUVWYZ\]_`abdfgijklmnpqrstuuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\92\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\98\97\97\98\99\9a\9c\9d\9f¡£¥§«®²¶º½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïððñññññññðïïîíìëêèçåäãáàÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀ¿¿¿¿¿¾¾½½½½½½½¼¼¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»»»ººººººº¹¹¹¹¹¹¹¸¸······¶¶¶¶¶µµµµ´´´´´´³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨©©©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8b\88\85\82\7f{xuqnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWY[\]_`acefhijkmnoqrsttuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\97\97\98\99\9a\9c\9e\9f¡£¥¨«®²¶º¾ÁÅÉÍÐÓÖÙÜßáãåçéêëíîïïððñññññññððïîííìêéçæäãáàÞÜÛÙØÖÕÓÒÐÏÎÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀ¿¿¿¿¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼»»»¼¼»»»ººººººº¹¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´³³³³³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­¬­­¬¬¬¬«««««««ªªªªªªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§¦¦¥¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8b\88\85\82\7f{xuqnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWYZ\]_`acdfgijklmopqrstuvvwxyyzz{{|}}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\80\81\81\81\81\81\82\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡¢¥§ª®²µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïðððñññññððïîííìëéèæåãâáßÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀ¿¿¿¿¾¾¾½½¾½½½½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³²²±±±±±±±°°°°°°¯¯¯®®¯¯¯®®®­­­®­­­­¬¬¬­¬¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨¨§§§¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\91\8e\8b\88\85\82\7f{xuqnkheb`][YWVTSQPPOOOOOOOPPQRSTUVXY[\^_`bcefhijlmnpqrstuvvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\96\96\97\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡£¥¨«®²¶º¾ÂÅÉÍÐÓ×ÙÜßáãåçéêëíîïïððñññññññðïïîíìëêèçåäãáàÞÜÛÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¿¾¾½½½½½½½½½¼¼½½½½¼¼¼¼¼¼¼¼¼¼»»»»»»»ºººººººº¹¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´³³³³³³²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨§§§¦¦¥¤¤¢¡ \9e\9c\9a\98\96\93\91\8e\8b\88\85\82~{wtqmjgdb_][YWUTRQPOOOONNNOOPQRSTUVWYZ\]^`acdfghjklmopqrsttuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\90\90\90\91\91\91\91\92\92\91\92\92\92\92\92\93\92\92\92\93\93\93\93\94\94\93\94\94\94\94\94\95\95\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢£¥§¨ª¬®±´¸»¿ÃÆÊÍÐÓÖÙÜÞáãåçèêëìíîïððñññòòòòòññðïïîíìëéèçåäãáàÞÜÛÚØ×ÖÔÒÑÐÏÎÍÌËÉÈÈÇÆÆÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸·····¶¶¶µµµµµ´´´³³³³³²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡      ¡¡      ¡                                                   \9f      \9f\9f\9f    \9f\9f\9f\9f\9e\9e\9d\9c\9b\9a\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifda^\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]_`bdfghjklnopqrstuvwxyyzz{||}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨«¯³¶º¾ÂÆÊÍÑÔ×ÚÝßáãåçéêìíîïïðññññññññðïïîíìëêèçåäâáßÞÜÚØ×ÕÔÓÑÐÎÍÌËÊÉÈÇÅÄÄÃÃÂÁÁÀ¿¿¿¿¾¾¾½½½½½½½½¼¼¼¼½½½¼¼¼¼¼¼¼¼¼¼»»¼¼¼»»»ºººººº¹¹¹¸¸¸¸¸······¶¶¶µµµµµ´´´³³³³³²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\90\8e\8b\88\85\81~{wtqmjgdb_][YWUTRQPOOONNNNNOOPQRSTUVXY[\^_`bdeghijkmnoqrrstuvwxxyyzz{||}}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  ¢£¥§¨ª¬¯²µ¹½ÀÄÇËÎÑÕ×ÚÝßáäåçéêëíîïïðñññòòòòòòññðïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»»»ººº¹¹¹¹¸¸¸¸···¶¶¶¶¶µµµµµ´´´³³³³³³³²²±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬«««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤££££££££££££££££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡                     ¡¡¡     ¡¡¡     ¡¡¡      ¡                                   \9f       \9f       \9f\9f\9f\9e\9e\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifca^\ZXVTSQPONMMLLLLLLLMNOPPQRTUWXZ[]^`acefgijkmnpqrsstvwwxyyzz{||}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢¤¥§¨ª­¯³¶¹½ÁÄÈËÏÒÕØÛÝàâäæçéêìíîïïðñññòòòòòòññðïïîíìëéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµ´´´´´´³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡                                                \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f\9e\9e\9d\9d\9c\9b\99\98\96\94\92\90\8e\8b\88\86\83\80|yvsplifda^\ZXVTSQPONMMMLLLLLLMNOPQQSTVWYZ[]^`bceghijlmopqrstuvwxxyyz{{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f ¡¢¤¦§©«®±´·»¾ÂÆÉÍÐÓÖÙÜÞàãåæèêëìíîïððññòòòòòòòññðïïîíìëéèæåäâáßÝÜÚÙØÖÕÓÑÐÏÎÍÌËÊÈÇÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨¨§§§¦¦¦¥¤£¢¡ \9e\9c\9a\98\96\93\91\8e\8b\88\85\82\7f|xurolifc`^\ZXVUSRQPPOOOOOOOPQRSTUVXY[\]_`acefhijklnopqrstuvwwxyyzz{{||}}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\98\99\9b\9c\9e ¢¤§ª®±µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçéêëíîïïðññññññññððïîíìëêéçåäâáàÞÜÛÙ×ÖÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾½½½¼¼¼¼¼¼¼¼»»»»¼¼»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬««¬««««ªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡                 \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9c\9b\9a\98\97\95\93\91\8f\8c\8a\87\84\81~{xurokhfc`^[YWVTRQPNNMMLLLLKLLMNOPPQSTVWYZ\]^`bdeghijlmopqrstuvwxxyyz{{||}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\9a\9b\9d\9f¡£¥¨«®²¶º¾ÂÆÊÍÑÔ×ÚÝßáäæçéêìíîïððññññòòñññðïîîíìëéèæåãâàßÝÜÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¾¾¾¾½½½¼¼¼¼¼¼¼¼»»»»¼¼¼»»»»»»»»»»»»»»»»ººººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±°°°°°¯¯¯¯¯¯¯®®®­®®­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©ªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§¦¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\98\96\93\90\8e\8b\88\85\82\7f{xuqnkheb`^[YXVTSRQPOOOOOOOOPQRSTUVXY[\]_`acdfgijklmopqrsstuvwxxyyzz{{||||}}}~~~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f      ¡¢¤¦§©«­¯²¶¹¼ÀÄÇËÎÑÔ×ÚÜßáãåçéêëìíîïðñññòòòòòòòññðïïîíìêéçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÃÃÂÂÂÁÁÁÀÀÀ¿ÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½¼¼»»»»»»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´³³³²²²²²²±±°°°°°°°°¯¯¯¯¯¯¯®®®­®®­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤££££££££££££££££££¢¢££££¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡                                          \9f      \9f\9f\9f    \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8a\88\85\82\7f|yurolifc`^\YWVTRQPONMMLLLLLLMNNOPQRTUWXZ[]^`acefhijlmopqrstuvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f                  ¡      ¡¡¡   ¡¡¡¡¡¡   ¡¡¡¡ ¡¢£¥¦¨ª«­°³¶¹½ÀÄÇËÎÑÔ×ÚÝßáãåçéêëìíîïðñññòòòòòòòñððïîíìëêèçåäãáàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´³³³³²²²²²±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡    ¡¡¡¡¡   ¡¡¡¡                  \9f      \9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\98\97\95\93\91\8f\8c\8a\87\84\81~{xurokhfc`^[YWUTRQPONMMMLLLLMMNOPQRSTVXY[\^_acdfhijlmnpqrstuvwxyz{{||}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\98\99\99\99\99\99\99\99\9a\9b\9c\9e ¢£¥§ª­±´¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòññðïïîíìëêèçåäâáßÞÜÚØ×ÕÔÓÑÏÎÍÌÊÊÉÇÆÅÄÄÃÃÂÁÁÀ¿¿¿¿¿¾¾½½½½½½½½¼¼¼½½½½¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´´³³³³³³²²±±±±±±±°°°°°°¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©ªªª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~{wtqmjgdb_][YWUTRQPPOOOOOOPQQSTUUWXZ[]^`abdegijklnopqstuuvwxyyz{{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\9a\9b\9c\9e ¢£¥§ª­±´¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððññññòòòññðïîííëêéçæäãáàÞÜÛÙ×ÖÔÓÒÐÏÍÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¿¿¾¾¾½½¾¾¾½½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¹¸¸¸¸¸····¶¶¶¶¶µµµ´´´´³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨§§¦¦¦¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81}zwspmjgda_\ZXWUSRQPPPOOOOPPQRSTUVWYZ\^_abcefhjklmopqrtuvvwxyz{{||}}~~\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\92\93\93\93\93\94\94\93\93\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f      \9f                  ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢¢££££¢£¤¥§¨ª¬­¯²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäæçéêëíîîïðññòòòòóóóòòññðïïîìëêèçæäãáàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÆÆÅÄÄÄÄÃÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³²²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨§§¦¥¥£¢¡\9f\9d\9b\99\96\94\91\8e\8b\88\85\82~{xtqnjgeb_][YWVTSRQQPPPPPPQRSTUVWXZ[]^`abdegijlmnoprstuvwxyzz{||}}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\97\97\96\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéêìíîïððññññòòòññðïïîíìëéèæåãâàßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÅÄÄÃÃÂÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³³²²²²²²²±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤¤££££££££££££££££££££££££¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡ \9f\9f\9e\9d\9c\9a\98\97\94\92\90\8d\8b\88\85\82\7f|xurolifc`^[YWVTRQPONNNMMMMMNNOPQRSUVXY[]^_acdfhiklmoprstuvwxyz{|}}~~\7f\80\80\80\81\81\81\81\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\99\99\99\98\98\99\99\99\99\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f                          ¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢£££££££££££££££££££££££££££££££££££££££££££££££££££££££¤¤¦§©ª¬­¯²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòóòòòññððïîíìêéèçæäãáßÞÝÛÚÙ×ÕÔÓÒÑÐÏÎÌËËÊÊÉÈÈÇÆÆÆÅÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´´³³³³³³³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯®®®®®®®®­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©©¨¨¨©©©¨¨¨¨¨¨¨¨¨¨§§§¨¨¨§§§§§§§§§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤£££££¤¤¤££££££¤¤££££££¤¤££££££¤££££££££££££££££££¢¢¢£££££¢¢¢££££££¢£££££££¢¢¢¡  \9f\9e\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|yvrolifc`^\ZXVTSQPOONNNNNNNNOPQRSTUWXZ\]_`bcegijlmnpqstuvwxyz{|}}~~\7f\80\80\81\81\81\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\98\98\98\97\97\98\98\98\99\99\99\98\99\99\99\99\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                   ¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢££££¢¢££££££££££££££££££££££££££££££££££££££££££££££££¤¥§©ª¬­¯²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòòòòòññððïîíìêéèçæäãáàÞÝÜÛÙØÖÕÔÓÒÑÐÏÍÌÌËÊÊÉÈÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¾¾¾½½½¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµµµµµ´´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«¬¬¬¬¬«««««««««ªªªª««ªªªªªªªªªª©©©ªªªª©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§¨§§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¦¥¥¥¥¥¥¥¦¦¥¥¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¢¢¡ \9f\9e\9d\9b\9a\98\96\93\91\8e\8c\89\86\83\80}zvspmjgda_]ZYWUSRQPOOONNNNNOPQRSTUVWYZ\^_`bcegijkmnoqrtuvvwxzz{|}}~~\7f\80\80\80\81\81\81\81\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\95\95\94\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                         ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢£££££¢¢¢£££££¢¢££££££¢¢¢£££££¢¢¢£££££££¤¥§©ª¬­¯²´·»¾ÁÅÈÌÏÒÕØÚÝßâäåçéêëíîîïðñññòòòòòòòòññððïîíìêéèçæäãáßÞÝÜÚÙ×ÖÕÓÒÑÐÏÎÍÌËËÊÊÉÈÇÇÆÆÆÆÅÅÅÄÄÄÄÄÄÄÃÃÃÃÃÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÀÀÀÀÀ¿¿¿¿¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµµµµµ´´´´´´´³³³²²³³³²²²±±±²±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬­­­­¬¬¬¬¬¬¬¬¬««ªª©©¨§¥¤¢ \9e\9c\9a\97\94\91\8e\8b\88\85\82~{wtqmjgda_][YWVTSRQQQPPPPPQRSTUVWXY[\^_abceghjklnoprstuvwxyzz{||}}~~\7f\80\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¥¦¨«®±µ¹¼ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìëéèçæäãáàÞÜÛÚØ×ÖÔÒÑÐÏÎÍÌËÉÈÈÇÇÆÅÅÄÃÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµµ´´´´´´³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬­­¬¬¬¬¬¬¬¬¬¬«««««««««ªª©©¨§¦¥£¢ \9e\9c\99\97\94\91\8e\8b\88\85\82~{xtqnkheb`][ZXVUSRRQQQQQQQRSSTUVWYZ\]_`acdfgijlmnoprstuvwxyyz{|||}~~\7f\7f\80\80\80\80\80\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f¡¢¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòñððïîîíìêéçæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿À¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµµ´´´´´´´³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨¨¨§¨¨¨¨¨¨§§§§§¨§§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££¤¤£££££¤¤¤£££££¤¤¤££££££¤¤£££££££££££¢¢¡¡ \9f\9e\9d\9b\99\97\95\93\90\8e\8b\88\85\82\7f|xurnkhec`][YWUTRQPONNMMMMMMMNOPQRSTUWYZ\]_`bdegijkmnpqrstuvwxyz{{||}~\7f\7f\7f\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f      \9f                           ¡       ¡¡     ¡¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«­¯±´·º½ÁÄÈËÎÒÕ×ÚÝßáãåçéêëìíîïððññòòòòòòòññððïîíìëêéçæåäâàßÞÜÛÚØ×ÕÔÓÒÑÐÏÎÍÌËËÊÊÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶¶µµµ´´µ´´´´³³³³³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªª««««ªªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢£££££££¢¢¢¡¡ \9f\9e\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|xurnkhec`][YWUTRQPONNMMMMMMMNOPQRSTVWYZ\]_`bdegijkmnpqrstuvwxyz{||}}~\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                                          ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáäåçéêëìîîïðñññòòòòòòòñññððïîíëêéèçåäâáßÞÜÛÚØ×ÕÔÓÒÑÐÏÍÌËËÊÊÉÈÇÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¹¸¸¸¸····¶¶¶¶¶¶µµµ´´´´´´´³³³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª©©¨¨§¦¥£¡ \9e\9b\99\96\94\91\8e\8b\88\85\82~{xtqnkheb`^\ZXVUTRRQQQQQQQQRSTUVWXZ[]^`abdeghjklmopqrtuuvwxyz{{||}}~~\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9b\9c\9e ¡£¥§ª­°´·»¿ÃÇÊÎÑÔ×ÚÝßáäæçéêìíîïððññññòòñññðïïîíìëêèçåäãáàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼»»»»»»ºººº¹¹¹¹¸¸¸······¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²²±±±±±±±±°°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªª««««ªªªªªªªªª©©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢££££££¢£££££££££££££££££££££££¢¢¢¡  \9f\9d\9c\9b\99\97\95\92\90\8d\8a\87\85\81~{xtqnkheb_][YWUSRPONNMMMMLLMMNOPQQRTUWXZ[]^`acefhijlmopqrstuvxyyz{{|}}~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\83\83\82\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§©­°´·»¿ÃÇÊÎÑÔ×ÚÝßáäæçéêìíîïððñññòòòòññððïîîíëêéçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÄÃÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸¸¸····¶¶¶¶¶µµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª««««ªªªªªªªªª©©©¨¨§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\81~zwtqmjgeb_][YXVTSRQQQPPPPPQRRSTUVWYZ\]_`acdfgijkmnoprstuuvwxyzz{{||}}~~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïïðñññññòñññðïïîíìëêèçåäãáàÞÜÛÙØÖÕÔÒÐÏÎÍÌËÊÉÈÇÆÅÅÄÃÃÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼»»»»»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬««««««««ªªªªª«ªªªªªªªªªª©©¨¨¨§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\80}zvsplifca^\ZXVUSRQPPPPOOOPPQRSTUVWXZ\]_`acdfgijkmnoprstuuvwxyz{{{||}~~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                                                  ¡¡    ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¢£¤¥§©ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòñññððïîíëêéèæåäâàßÞÜÛÚØ×ÕÔÓÒÑÐÎÍÌËÊÊÉÉÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÁÁÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½¼¼»»»»»ºº¹¹¹¹¹¸¸······¶¶µµµµµµµ´´³³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªª«ªªªªª©ªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9c\9a\98\96\94\92\8f\8d\8a\87\85\82~{xurnkhec`^[YWVTSQPONNNNNMMNNOPQRSTUVXZ[]^_acdfhiklmnpqstuuvwxyz{{||}~~\7f\7f\7f\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f\9f\9f                                 ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¤¥§©ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòññððïîíìëêèçæåãáàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÈÈÇÆÆÅÅÅÄÄÄÃÃÃÃÃÃÂÂÂÁÁÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼¼»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´³³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££¤££££££££££££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡  \9f\9e\9d\9c\9a\98\96\94\92\90\8d\8a\88\85\82\7f|xurolifc`^\ZXVTSQPONNNMMMMMMNOPQRSTUWXZ[]^`acefhijlmopqsttuvwyyz{{||}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f\9f\9f                                  ¡              ¡¡¡    ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢£¥¦¨ª«­°³¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáßÞÜÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÉÈÈÇÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµµµµ´´´³³³³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®­­­­­­­­¬¬¬¬¬¬¬««««««««««««««««ªªªªªªªª©©¨¨§§¦¥¤¢ \9f\9d\9a\98\95\93\90\8d\8a\87\83\80}yvrolifc`^\ZXVUSRQPPPOOOOOPQRSSTUWXZ[]^_abdeghjklmopqsttuvwxyzz{{||}}~~~\7f\7f\7f\80\80\80\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§©­°´·»¿ÃÆÊÎÑÔ×ÚÝßáäåçéêìíîïïðññññòòòññððïîíìëêèçåäãáàÞÜÚÙØÖÕÓÒÐÏÎÍÌËÊÈÇÆÆÅÄÄÃÃÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¿¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»»ººººº¹¹¹¸¸¸¸···¶¶¶¶¶¶µµµµµ´´´³³³³³³³²²²²²±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨§§¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8d\8a\86\83\80}yvsplifda_\ZYWUTRQQPPPPPPPPQRSTUVWXZ[]^`abdeghjklmopqrstuvwxyyz{{{|}}~~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\96\96\96\96\96\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¤§©¬°³·»¿ÃÆÊÍÑÔ×ÚÜßáãåçéêëíîïïððñññññññððïîîíìêéçæåãâàßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÅÄÃÃÂÁÁÁÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸¸·····¶¶¶µµµµµµ´´³³³³³³³²²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª««««ªªªªªªªª©©©©©©©©©¨¨¨§§¦¥¤£¢ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80|yurolhec`^\ZXVTSRQPPPOOOOPPQRSTUVWXZ[]^`abdeghjklmopqsttuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\91\91\91\92\92\92\93\93\93\93\93\93\93\93\93\94\94\93\94\94\94\94\94\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\9a\9c\9d\9f¡¢¤¦©¬¯³·»¿ÂÆÊÍÑÔ×ÚÜßáãåçéêìíîïïðññññòòòññðïïîíìëêèçåäãáàÞÜÚÙØÖÕÓÒÐÏÎÍÌËÊÈÇÆÅÅÄÄÃÂÂÁÁÀÀÀÀ¿¿¿¾¾¿¾¾¾¾¾¾¾¾¾¾¾½½½½½¾½½½½½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡  \9f\9e\9d\9c\9b\99\98\96\94\91\8f\8c\8a\87\84\81~{wtqnjgeb_][YWUSRPONNMMMMLLLMNOPPQRSUWXZ[]^`acefhijlmnpqrstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f                                                           ¡¡     ¡¡¡      ¡¡  ¡¢£¤¦¨©«­°²¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòñððïïîíìêéèçåäâáßÝÜÛÙØ×ÕÓÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÄÄÃÃÃÂÂÂÂÂÁÁÁÁÀÀÀÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªª«««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££¤¤££££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡  \9f\9f\9e\9d\9c\9b\99\97\95\93\91\8f\8c\89\86\84\81}zwtpmjgdb_]ZXVUSRPONNMMMMLLLMNOPPQRSUVXY[\]_abdfgijkmnoqrstuvwxyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\81\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f\9f\9f                                                                ¡¡¡¡¡¡¡¢£¥¦¨©«­¯²µ¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððñññòòòòòññðïïîíìëêèçæäãâàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÅÄÄÄÃÃÃÂÂÂÂÂÂÁÁÁÁÀÀÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©ªª©©©¨¨¨§¦¥¤£¢ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80|yvrolifc`^\ZXVUSRQPPPOOOOPPQRSTUVWXZ\]^`abdfgijkmnoprstuvvwxyz{{||}}~~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññòòñññðïïîíìëéèæåãâáßÝÛÚØ×ÕÔÓÑÏÎÍÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼»»»»»»ºººº¹¹¹¹¹¸¸¸¸····¶¶¶µµµµ´´´³³³³³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨§§¦¦¥¤¢¡\9f\9e\9c\99\97\94\92\8f\8c\89\86\82\7f|xurnkheb`][YWVTSQPPOOOOOOOPPQRSTUVWY[\]_`acefhijkmnoqrsttuvwxyyzz{{|}}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\97\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9f ¢¤¦¨¬¯³¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëìîîïððñññòòòññðïïîíìëéèæåäâáßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÅÄÃÃÂÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ºººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­®®­­­­­­­­¬¬¬««««««««ªªªªªªªª©ªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¦¥¥¤¢¡\9f\9e\9c\99\97\94\92\8f\8c\89\86\83\80|yvsolifda_\ZYWUTSRQQPPPPPPQRSTUVWXY[\^_`bcefhijlmnoprstuuvwxyyzz{{||}~~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÓÖÙÜßáãåçéêëíîîïððñññññññððïîîíìêéçæäãâàßÝÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÆÅÅÄÄÃÂÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½½½½½½½½¼¼¼¼½½½¼¼¼¼¼¼¼¼¼»»»»»»»ººººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³²²²²²²±±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªª««ªªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¤£¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\86\82\7f|xuqnkheb_][YWUTRQPPOOOOOOOPPQRSTUVXY[\^_`bcefhijkmnoqrstuuvwxyyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçéêëíîïïðññññòòñññðïïîíìëéèæåãâáßÝÛÚØ×ÕÔÓÑÏÎÍÌËÊÈÇÆÅÄÄÃÃÂÁÁÀÀÀ¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ººººº¹¹¹¹¸¸¸¸····¶¶¶¶¶µµµ´´´´´´³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©ªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££££¤£££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡    \9f\9f\9e\9d\9c\9b\9a\99\97\95\93\91\8e\8c\89\87\84\81~{wtqnkheb`][YWUTRQPONNNMMMMMNNOPQRSTVWYZ\]^`bceghiklmopqsttuvwxyzzz{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f       \9f       \9f      \9f\9f\9f     \9f\9f\9f      \9f        ¡¢¤¥§©ª¬¯²µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåçèêëìíîïððññòòòòòòòñððïîîìëêèçæåãâàÞÝÛÚÙ×ÖÔÓÒÐÏÎÍÌËÊÉÈÈÇÆÆÅÄÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»ººº¹¹¹¹¹¸¸·····¶¶¶µµµµµµ´´³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©ªªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££¤¤££££££££££££££££££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡      ¡¡      ¡¡      ¡¡   \9f\9f\9f\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zwspmjgda_\ZXVTSQPONMMMMLLLLMMNOPQRSTVWYZ\]_`bdeghijlmopqrstuvwxyyz{{|}}}~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f       ¡¢¤¥§©ª¬¯²µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåçèêëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÆÆÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½¼¼¼»º¹¸·µ³±¯¬ª§¤¡\9e\9a\97\94\90\8c\89\85\82~{wtpmjheca_^\[ZYYYYYYYYZ[\]^_`acdfghiklnoqrstuvwyz{{|}~~\7f\80\80\81\81\81\82\82\83\83\83\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\86\87\87\87\87\87\87\87\87\88\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\98\98\99\99\99\99\99\99\99\99\9a\9c\9d\9f¡¢¤¦©¬¯³·»¿ÂÆÊÍÑÔ×ÚÜßáãåçéêëíîïïððñññññññððïîíìëêéçæäãâàßÝÛÚØ×ÕÔÓÑÏÎÍÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸¸¸····¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±±±°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªª«ªªªªªªªªª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤£££££££££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡                                  \9f                               \9f       \9f      \9f\9f\9f     \9f\9f                      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f       \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f                                       \9f      \9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f                 ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9b\99\97\95\93\91\8f\8c\8a\87\84\81~{wtqnkheb_][YWUSRPONNMMLLLLLLMNOPPQRTUWXZ[]^`bcefhijlmopqrstuvwxyzz{{|}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f    \9f\9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f                      \9f       \9f       \9f      \9f\9f\9f     \9f\9f      \9f\9f       \9f                               \9f                                                       \9f                                                                                                                                                                  ¡¡¡                                                                                              ¡¡              ¡                                             ¡¡¡¡¡¡¡¢¢££££££¤¤¤¤¤¤££¢¢¢¡¡ \9f\9e\9e\9d\9d\9c\9b\9a\99\98\97\97\96\95\95\94\93\92\91\91\90\90\8f\8e\8e\8d\8d\8d\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\90\90\90\90\90\91\91\92\92\92\92\92\92\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f\9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f                      \9f               \9f       \9f       \9f                                                                                                                                ¡¢£¥¦§©«­°³·º¾ÁÅÈËÎÒÕ×ÚÝßáãåçéêëìíîïðññòòòóóóóóóóóóóóòòñññððïîíììëêéèçæääãâáàÞÝÜÛÛÚÙØ×ÖÕÔÔÓÓÒÑÐÐÏÏÎÎÎÍÌÌÌÌËËËÊÊÉÉÉÉÉÉÈÈÇÇÇÇÇÇÆÆÆÅÅÅÅÅÄÄÄÃÃÃÃÃÂÂÁÁÁÁÁÀÀ¿¿¿¿¿¾¾½½½¼¼¼¼»»ºººº¹¹¹¸¸¸·····¶¶¶¶¶¶µµµ´´´´´´´³³²²²²²²²±±±±±±±°°°°°°°¯¯¯¯®¯¯¯®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡      ¡¡     ¡¡¡                   \9f\9f\9e\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8c\89\86\83\81~zwtqnkheb`][YWUTRQPONNMMMMLLMNNOPQRSUVXY[\]_abdfgijkmnpqrstuvwxyzz{{||}~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\82\83\83\82\83\83\83\83\83\84\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\91\91\91\92\92\92\93\93\93\92\93\93\93\93\93\94\94\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢¤¥§¨ª­¯²¶¹½ÀÄÈËÎÒÕØÚÝßâäæçéêìíîïïðññòòòòòòòññððïîíìëéèçåäãáßÞÜÛÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤££¤¤¤£££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡                                                                          \9f      \9f\9f\9f     \9f\9f\9f\9f\9e\9d\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\83\80}yvspmjgda_\ZXVTSQPONMMMLLLLLLMNOPQQSTUWYZ[]^`bceghijlmopqrstuvwxxyyzz{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f ¢£¥§¨ª­°³¶º¾ÁÅÈÌÏÒÕØÛÞàâäæèéëìíîïððññòòòòòòòñððïîîíëêéçæäãâàÞÝÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶µµ´´´´´´´³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨©¨¨¨¨¨¨¨¨¨§§§¦¦¦¥¤£¢¡ \9e\9c\9a\98\96\93\90\8e\8b\88\85\81~{wtqmjgdb_][YWUTRQPOOOOOONOOPQRSTUVXY[\^_`bcefhijlmnoqrsttuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\94\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9b\9d\9e ¢¤§ª®±µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçéêëíîïïðñññññòñññðïïîíìëêèçåäâáßÞÜÚØ×ÖÔÓÑÐÎÍÌËÊÉÇÆÅÄÃÃÂÂÁÀ¿¿¿¾¾¾¾½½¼¼¼¼¼¼¼»»»¼¼¼¼»»»»»»»»»ººººººººº¹¹¹¹¹¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´³³³²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬««««««««ªªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤££££££££££¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡      ¡       ¡                                                  \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9c\9b\9a\99\97\96\94\92\90\8d\8b\88\85\83\80}zwspmjgeb_][YWUSRPONNMMMLLLLMMNOPQRSTVWYZ\]_`bdeghijlmopqrstuvwxxyyz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¤¦¨©¬®±´¸¼¿ÃÆÊÍÑÔ×ÙÜßáãåçèêëìíîïðñññòòòòòòòññðïïîíìêéçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌÊÉÈÇÇÆÅÅÄÃÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¾¿¿¾¾¾¾¾¾¾¾½½½½¼½½¼¼¼¼»»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´´´³³³²²²²²²²±±±°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««ªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡     ¡¡¡                                  \9f     \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\99\97\95\94\91\8f\8d\8a\88\85\82\7f|yurolifca^\ZXVTRQPONMMLLLLLLLMNOPQRSTVXY[\]_abdfghjklnopqrstuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\96\96\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡£¥¨«¯²¶º¾ÂÆÊÍÑÔ×ÚÜßáãåçéêìíîïïðñññññññññðïîííìêéèæåãâàßÝÛÙØÖÕÓÒÑÏÍÌËÊÉÈÇÆÄÃÃÂÂÁÀÀ¿¾¾¾¾¾½½¼¼¼¼¼¼¼¼»»»¼¼¼¼»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªª©©ªªª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§¦¦¦¥¥¥¤££¢ \9f\9d\9c\9a\98\95\93\90\8d\8b\88\85\81~{xtqnkheb`][YXVTSRQPPPOOOOPQQRSTUVXY[\^_`acdfgijklnopqrstuvwwxyyzz{{||}}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f     \9f\9f                      \9f\9f      \9f\9f      \9f\9f\9f      ¡¢¤¦¨©«­°³¶¹½ÀÄÇËÎÑÔ×ÚÝßáãåçéêëíîîïðññòòòòòòòòññððïîíìëéèæåäâáßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÈÇÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªª©©©©ª©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡      ¡                                          \9f\9f\9f    \9f\9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9d\9c\9b\9a\99\97\96\94\92\90\8d\8b\88\85\82\7f|yvspmjgda_\ZXVTSQPONMMMLLLLLMNOPQRSTVWYZ\]_`bdeghiklnoprstuuwxyyzz{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\96\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f                                                                                  ¡¡     ¡¡¡¡¡ ¡¡¡¡¡¡¡¡¢¤¥§©ª¬®±´·º½ÁÄÈËÎÒÕØÚÝßáãåçéêëíîîïðñññòòòòòòòñððïîîíëêèçæäãâàÞÝÛÚØ×ÕÔÓÑÐÏÎÍÌËÉÈÇÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¹¹¸¸¸¸···¶¶¶¶¶µµ´´´´´´³³³³³²²²²±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªª«ªªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¤¤¢¡ \9e\9c\9a\98\95\93\90\8d\8a\87\84\81~zwspmjgda_\ZXVUSRQPOOOOOOOPPQRSTUWXZ[]^`abdfgijkmnoprstuuvwxyzz{{||}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\96\96\95\95\96\96\96\96\97\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e ¢£¥§ª­±´¸¼ÀÃÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòññðïïîíìëéèæäãáàÞÝÛÙØÖÕÓÒÑÏÎÌËÊÉÈÇÆÅÄÄÃÃÂÂÁÀÀ¿¿¿¿¿¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼»»»»»»»ººººººº¹¹¹¹¹¹¹¸¸······¶¶µµµµµ´´´³³³³³³²²±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬«««««ª««ªªªª©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤££££££££££££££££££¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡                      ¡¡¡    ¡¡¡¡¡    ¡¡¡                                 \9f\9f\9f\9e\9e\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8a\88\85\82\7f|yvspmjgda_]ZXWUSRQPONNNNNNNOOPQRSTUWXZ\]_`bceghjklnoqrstuvwxyz{|||}}~\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\83\83\84\84\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f     \9f                          ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢£££££¢¢¢¢£££¢¢£¤¥¦¨ª«­¯±´·»¾ÁÅÈÌÏÒÕØÚÝßáãåçéêëìîîïðñññòòòòóòòòñððïîíìëéèçæäãáßÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÄÄÃÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸··¶¶¶¶µµµµ´´´´´³³³³²²²²²²±±±±±±°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««««ªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££££££££££££££££££¢¢££££££¢¢£££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvrolifca^\ZXVTRQPONNMMMMMMMNOPQRSTVWY[\^_abdfhijlmoprstuvwxyz{||}}~~\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f                  ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢££££££¢££££££££££££££££¤¥§¨ª¬­¯²´·»¾ÁÅÈÌÏÒÕØÚÝßáäåçéêëìîîïðñññòòòòòòòññððïîíìëéèçæäãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍËÊÊÉÈÈÇÇÆÅÅÅÄÄÄÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´´´´´´³³³²²³²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©¨¨§¦¥¤£¡\9f\9e\9b\99\96\94\91\8e\8b\88\85\81~{wtpmjgda_][YWUTRQQPPPPPPPQRSTUVWXY[]^`acdfgijlmnoqrtuvwwxyz{|}}}~~\7f\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9c\9d\9e ¡£¥§©«®²µ¹½ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìêéçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÂÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¾¾¿¾¾¾¾½½½½½½½¼¼¼¼»»»»ºººººº¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´´³³³³³³³³²²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬««««ª«««ªªªªªªªªª©©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤£££££££££££££¤¤££££££¤¤£££££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢¢££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢££££¢¢¢¡¡ \9f\9e\9d\9c\9b\99\97\95\93\90\8e\8b\89\86\83\80}yvspmjgda_\ZXWUSRQPOOOONNNOOPQRSTUVXY[\^_abdfgijlmnpqstuvwxyz{|}}~~\7f\7f\80\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\95\94\95\95\95\95\96\96\96\95\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                 ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢££££££¢¢£££££££¢£££££££¢££££££££¤¥§©ª¬­¯²µ¸»¾ÂÅÈÌÏÒÕØÚÝßâäåçéêëíîîïðñññòòòòòòòñññððïîíëêéèçåäâáßÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍÌËËÊÉÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³³³³²²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­­®®­­­­­­­­­¬¬¬¬¬¬¬¬¬««ªª©©¨§¥¤¢ \9e\9c\9a\97\94\91\8e\8b\88\85\82~{wtpmjgda_][YWVTSRQQQPPPPPQRSTUVWXZ[]^`abdfgijlmnoqrsuvvwxyz{||}}~~\7f\80\80\80\81\81\81\81\82\82\82\82\83\82\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9c\9d\9e ¡£¥¦¨«®±µ¹¼ÀÄÇËÎÒÕØÛÝàâäæçéêìíîïððñññòòòòòññðïïîíìëéèçåäãáàÞÜÛÚØ×ÖÔÒÑÐÏÎÍÌËÉÈÈÇÇÆÅÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼¼»»»»»ººº¹¹¹¸¸¸¸······¶¶¶µµµµµµµ´´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ª«««««ªªªªªªªªª©©©©ªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤£££¤¤¤¤£££££¤¤¤££££££¤¤££££££¤££££¢¢¡¡ \9f\9e\9c\9b\99\97\95\93\90\8d\8b\88\85\82\7f|xurolhfc`^[YXVTSQPOONNNNMMNNOPQRSTUWXZ[]^`aceghjklnoqrsuvwwyz{||}}~\7f\7f\80\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\97\97\96\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f     \9f                   ¡¡     ¡¡¡¡    ¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢£££££¢¢¢£££££££££££££££££££££££££££££¢¢££££££££¤¥§¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòòññððïîíìëéèçæäãáàÞÝÜÛÙØÖÕÔÓÒÑÐÏÍÌÌËÊÊÉÈÈÇÇÇÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¸¸¸······¶¶¶µµµµµµ´´´´´´´³³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬¬¬««««««««««ª«««««ªªªªªªªªªª©ªªªªª©©©©©©©©©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦§§§§§¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££¤¤¤£££££¤¤¤¤£££¢¢¡¡ \9f\9d\9c\9a\98\96\94\91\8f\8c\89\87\83\80}zwspmjgdb_][YWUSRQPOONNNNNNOOPQRSTUVXZ[]^_acdfhiklmoprstuvwxyz{{||}~~\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9d\9f ¢¤¥¨ª­±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððññññòòòññððïîîíìêéçæåäâáßÝÛÚÙ×ÖÕÓÑÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀÀ¿¿¿ÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««««««««««ªªª©©¨§¦¥£¢ \9e\9b\99\96\94\91\8e\8b\87\84\81}zvspmifda_\ZXWUSRQQPPPPPOPPQRSTUVWYZ\]_`bcefhjklmnpqrtuvvwxyz{{||}}~\7f\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f¡¢¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÚÝàâäæèéêìíîïððñññòòòòòññðïïîíìëéèæåäâáßÝÜÚÙØ×ÕÔÒÑÐÏÎÍÌËÉÈÈÇÇÆÅÅÄÃÃÃÂÂÂÁÁÁÀÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾½½½½½½¼¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµµµµ´´´³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««««««««ªªª©©¨¨§¦¥£¡ \9d\9b\99\96\93\90\8d\8a\87\84\80}zvsolifca^\ZXWUSRQQPPPPPPPPQRSTUVWYZ\]_`acdfhijlmnoqrstuvwxyzz{|||}~~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f     \9f\9f                                          ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëíîîïðñññòòòòòòòññððïïîìëêéèæåäâàßÝÜÛÚØ×ÕÔÓÒÑÐÏÍÌËÊÊÉÉÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÃÂÂÂÂÃÃÂÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½½¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµµµµµ´´´³³³³³³³³²²²²²²²±±±±±±±°°°°¯°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªª«««ªªªªªªªªªª©©©©©©©©©¨©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££¤¤££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢££££££¢¢£££££££¢¢¢¡¡ \9f\9e\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|xurokhec`^[YWUTRQPONNMMMMLMMNOPQRSTUWXZ[]^`aceghiklmoprstuvwxyz{{||}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9b\9d\9e ¢¤¥§ª­±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððñññòòòòòñððïïîíìêéçæåãâàßÝÛÚÙ×ÖÕÓÑÐÏÎÍÌËÊÈÇÇÆÆÅÅÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©¨§§¦¤£¡\9f\9d\9b\99\96\94\91\8e\8b\88\85\81~{wtqnkheb`][ZXVUSRRQQQQPPQQRSTUVVXY[\^_`bcdfhiklmnoqrstuvwwxyz{{||}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                                   ¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢£¥¦¨©«¬®±´·º½ÁÄÈËÎÒÕ×ÚÝßáãåçéêëìíîïðñññòòòòòòòòññððïîíëêéèæåäâàßÝÜÛÚØ×ÕÔÓÒÑÐÏÍÌËÊÊÉÉÈÇÆÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´´´´´³³³²²²²²²²²±±±±±±±°°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©ªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨¨§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££¢££££££¢¢£££££££¢£££££££££££££££££££££££¢£££££££¢£££££££¢££££££¢¢¢¡¡ \9f\9e\9d\9c\9a\99\97\94\92\90\8d\8a\88\85\82~{xuqnkheb`][YWUSRQONNMMMMLLLMNOPQQRTUWXZ[]^`aceghiklnoprstuvwxyzz{{|}}~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f                                           ¡¡      ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«¬®±´·º½ÁÄÈËÎÑÔ×ÚÝßáãåçèêëìíîïðñññòòòòòòòññððïîíìëêèçæåãáàÞÝÜÚÙØÖÔÓÒÑÐÏÎÍËÊÊÉÈÈÇÆÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÂÁÂÂÂÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾½½½½¼¼»»»»»ººº¹¹¹¹¹¸¸¸¸·····¶¶¶¶¶µµµ´´´´´´´³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªª««ªªªªªªªªª©©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§¨¨¨¨¨§§§§§§§§§§¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤££££££¤¤££££££¤£££££££££££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¡¡  \9f\9e\9d\9c\9a\98\96\94\92\8f\8d\8a\87\84\81~{xuqnkheb`][YWUSRQOONNMMMMLMMNOPQQRTUWXZ[]^`bceghjklnoqrstuvwxyz{{||}}~\7f\7f\7f\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f                                                 ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¢£¤¦§©ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëêèçæåãâàÞÝÜÛÙØÖÕÔÒÑÑÐÎÍÌËÊÊÉÉÈÇÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼¼»»»»»ººº¹¹¹¹¹¸¸······¶¶µµµµµµµ´´´´´´³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©¨§¦¥¤£¡\9f\9d\9b\99\96\93\90\8d\8a\87\84\81}zwspmjgda_][YWUTSRQQPPPPPQQRSTUVWXZ[]^`abdegijkmnoprstuvwxyzz{||}}~~\7f\7f\80\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\82\83\83\83\83\83\84\84\83\83\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¥§ª­°´·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòññððïîíìëêèçåäãáàÞÜÚÙØÖÕÔÒÐÏÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¹¹¹¸¸¸······¶¶µµµµµµµ´´³³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££¤¤£££££¤¤¤££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{xtqnkheb`][YWUSRQONNMMMMMLMMNOPQQRTUWXZ[]^`acefhijlmoprsttuvxyyz{{||}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¤§©¬°³·»¿ÂÆÊÍÑÔ×ÚÜßáãåçéêëíîïïððññññññññðïïîíìëêèçåäãáàÞÜÛÙØÖÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÁÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½¾¾¾½½½¼¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¹¸¸······¶¶µµµµµµµ´´³³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨§¦¥¤£¢ \9e\9c\9a\98\95\92\90\8d\8a\87\83\80}yvsolifca^\ZXWUTRRQQPPPPPPQRSTUVWXZ[]^`abdeghjklmnpqrstuvwwxyz{{{||}~~~\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f                                          ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡¡    ¡¡¡  ¡¢£¥¦¨©«­°²¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍËÊÊÉÈÈÇÆÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸····¶¶¶¶µµµµµ´´´³³³³³³³²²²²²±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢£££££¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡  \9f\9e\9d\9c\9a\98\96\94\92\8f\8d\8a\87\85\82~{xurokhfc`^[YWVTRQPONNMMMMMMMNOPQRRTUWXZ[]^`acefhijlmopqsstuvwxyz{{||}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f                                                           ¡       ¡¡      ¡¡      ¡¡  ¡¢£¥¦¨©«­°²¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòñððïïîíìêéèæåäâáßÝÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÊÉÉÈÇÇÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµµµµ´´´³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«¬¬¬¬¬««««««««ªªªªªªªªª©©¨¨§§¦¥£¢ \9e\9c\9a\98\95\92\90\8d\8a\86\83\80}yvrolifc`^\ZXVUSRQPPPOOOOOPQRSTTUWXZ[]^`abdeghjklmopqsttuvwxyyz{{{|}}~~~~\7f\7f\80\80\80\80\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¤§©¬°³·»¿ÃÆÊÍÑÔ×ÚÝßáãåçéêìíîïïðññññòòòññðïïîíìëêèçåäãáàÞÜÚÙ×ÖÕÓÒÐÏÎÍÌËÊÈÇÆÅÅÄÄÃÂÂÁÁÁÀÀÀ¿¿¿¾¾¾¾¾¾¾½¾¾¾¾¾¾½½½½¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©ªªª©©©©©©©©©©¨¨¨¨¨©¨¨¨¨¨¨¨¨¨¨¨§§§¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤££££¤¤¤££££££¤££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡   \9f\9e\9d\9c\9b\99\98\96\94\91\8f\8c\8a\87\84\81~{xurnkhec`^[YWVTSQPONNNNMMMNNOPQRSTUVXY[\^_`bdegijklnoqrstuvwxyyz{{||}}~~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9f ¢¤¦©¬¯³·º¾ÂÆÊÍÐÔ×ÚÜßáãåçéêëíîïïððñññññññððïîîíìêéçæåãâáßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶¶¶µµ´´´´´´´³³³³³³³²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªª©©©©©©©©©¨¨¨§§¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\89\86\83\7f|yurokheb`][YWVTSQPPOOOOOOOOPQRSTUVWY[\^_`bcefhijkmnoqrstuuvwxyzz{{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f      \9f               \9f       \9f                   ¡       ¡¡  ¡¢£¥¦¨©«­¯²µ¹¼ÀÃÇÊÍÑÔ×ÙÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÍÌËÊÉÉÈÈÇÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©ªªª©©©¨¨¨§¦¥¤£¢ \9e\9c\9a\98\95\93\90\8d\8a\87\84\80}zwspmjgda_][YWVTSRQQQPPPPQQRSTUVWXY[\^_abcefhiklmnoqrstuvwwxyz{{|||}~~~~\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÓÖÙÜßáãåçéêëìîîïððñññññññððïîîíìêéçæåãâàßÝÛÙØ×ÕÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼½¼¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´´³³²²²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨©¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9a\99\97\95\93\91\8e\8c\89\86\83\80}zwspmjgda_\ZXVUSQPONMMMMLLLLMMNOPQRSTVXY[\]_abdfghjkmnoqrstuvwxxyzz{{|}}~~~~\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f\9f     \9f\9f                                                ¡¢¤¦§©ª¬¯±µ¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððñññòòòòòññðïïîíìëéèçåäãáàÞÜÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÅÄÄÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾½½½½½½¼¼¼¼»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶¶¶µµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡    ¡¡¡     ¡¡¡    \9f\9f\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zwspmjgda_\ZXVTSQPONMMMMLLLLMMNOPQRSTVXY[\]_abdfghjklnoqrsttuwxxyzz{{|}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\86\86\86\86\87\87\87\87\88\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                ¡£¤¦§©ª¬¯²µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòòññðïïîíëêéçæåäâàßÝÜÚÙØÖÕÓÒÑÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼»»»»ººº¹¹¹¹¹¸¸¸······¶¶¶¶¶¶µµ´´´´´´´³³²²²²²²²±±±±±±±°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨§§¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\8a\86\83\80}zvspmjgda_][YWVTSRQQQPPPPQQRSTUVWXY[\^_abcefhijlmnoprsttuvwxyyzz{{|}}~~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçéêëíîïïððñññññññðïïîíìëêèçåäâáàÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½½¼½½½½½¼¼¼¼¼¼¼¼¼»»»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´´³³³³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¤¤£¡ \9e\9d\9b\98\96\93\91\8e\8b\88\85\82~{xtqnkheb_][YWUTRQPPOOOOOOOOPQRSTUVWY[\]_`acefhijkmnoqrstuuvwxyzzz{||}}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçéêëíîïïððñññññññððïîííëêéçæäãâàßÝÛÙØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀ¿¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½¼¼½½½½¼¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬«««««««ªªª©©ªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§§¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡    ¡¡¡    \9f\9f\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8c\89\86\83\80}zwtqnkheb`][YWUTRQPONNNMMMMMNNOPQRSTUWXZ[]^`acefhijkmnpqrstuvwxxyzz{{|}}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\93\94\94\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\96\96\97\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡¢¥§«®²¶¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìîîïððñññññññðïïîíìëêèçåäãáàÞÜÚÙ×ÖÕÓÒÐÎÍÌËÊÉÈÇÅÄÄÃÃÂÁÁÀ¿¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»¼¼»»»ººººººº¹¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´³³³³³³²²²²²±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬«««¬««««ªªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¡ \9e\9d\9a\98\96\93\91\8e\8b\88\85\81~{wtqmjgdb_][YWUTRQPOOOOONNOOPQRSTUVWY[\]_`acefhijkmnoprsstuvwxxyzzz{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f        ¡¢¤¥§©ª¬¯±µ¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòòñððïïîíëêèçæåãâàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÆÆÅÄÃÃÂÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»ººº¹¹¹¹¹¸¸······¶¶µµµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡                                              ¡                   \9f\9f\9f\9e\9e\9d\9c\9b\9a\98\96\94\92\90\8e\8b\88\86\83\80}zvspmjgda_\ZXVUSRPONNMMMMLLMMNOPQRSTUWXZ[]^`acefhijkmnpqrstuvwxyyzz{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\85\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\91\92\92\92\92\92\93\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢£¥§¨ª¬¯²µ¸¼¿ÃÇÊÍÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòññððïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌÊÉÈÈÇÇÆÅÄÄÃÃÃÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»»ººº¹¹¹¹¸¸¸¸····¶¶¶¶µµµµ´´´´³³³³³³²²±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªª©©©©©©©©©©¨¨¨©©¨¨¨§§§¦¥¤£¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\85\82\7f|xuqnkheb`][YWVTRQPPOOOOOOOOPQRSTUVWYZ\]_`acefhijklnoprsttuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\81\81\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨«¯³·º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïððññññññððïîîíìëêèæåäâáßÞÜÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÅÅÄÃÃÂÂÁÀÀ¿¿¿¿¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ºººººº¹¹¹¹¹¸¸¸¸····¶¶¶¶µµµµ´´´´³³³³³²²²±±±±±±±°°°¯¯°¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨¨§§§¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\90\8e\8b\88\85\82~{xtqnkheb`][YXVTSRQPPOOOOOOPQRSSTUVXY[\^_`bcefhijlmnoqrstuuvwxyzzz{||}}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\98\99\9b\9d\9f ¢¥¨«¯²¶º¾ÂÆÊÍÑÔ×ÚÝßáäåçéêìíîïððññññññññðïïîíìëêèçåäâáßÞÜÚÙ×ÖÔÓÑÐÎÍÌËÊÉÈÆÅÄÃÃÂÂÁÀÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»»»»»»»»ººº¹¹¹¹¹¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³²²²²²±±±±±±±°°°¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\91\8e\8b\88\85\81~{wtqmjgdb_][YWUSRQPOOONNNNNOOPQRSTUWXZ[]^_abdfghjklmnpqrsstuvwxxyyzz{|||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨¬¯³·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððññññññññðïïîíìëêèçåäâáßÞÜÚÙ×ÖÔÓÑÐÎÍÌËÊÉÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¹¹¹¹¸¸¸······¶¶µµµµµ´´´³³³³³²²²±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤£££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡                                                         \9f\9f\9f     \9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9e\9e\9e\9d\9c\9b\9a\99\98\96\94\92\90\8d\8b\88\86\83\80}zvspmjgda_\ZXVUSRPONNMMMMLLMMNOPQRSTUWXZ[]^_acdfgijkmnoqrsttuwxxyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¦©¬°´¸¼ÀÃÇËÎÒÕØÛÝàâäæèéëìíîïððññññññññðïïîíìëêèçåäâáßÞÜÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµµ´´´´³³³³³²²²²±±±±±±±°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§¦¦¦¥¤£¢¡ \9e\9c\9a\98\95\93\90\8d\8a\87\84\81~zwtpmjgda_\ZXWUSRQPOONNNNNNOOPQRSTUVXZ[\^_`bdeghijlmnoqrrstuvwxxyyyz{{||||}}}~~~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢¤¥§©«­°³¶º¾ÁÅÈÌÏÒÕØÛÞàâäæèéëìíîïððññòòòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶µµ´´´´´´³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤££££££££££¢¢¢££££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡      ¡¡                                 \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9e\9e\9d\9c\9b\9a\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifda^\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]_`bdeghiklnopqrstuvwxyyzz{||}}~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8e\8f\8f\8f\8f\8f\90\90\8f\90\90\90\90\90\91\91\90\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¤¦¨©¬®±´¸»¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïðñññòòòòòòòññððïîíìëéèçåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÈÇÇÆÅÅÄÃÃÂÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¿¿¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡      ¡¡      ¡¡      ¡¡      ¡¡                                  \9f      \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9d\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8b\88\85\82\7f|yvspmjgda_\ZXVUSRPONNMMMMMMMNNOPQRSTVWYZ\]^`acefhijlmopqrstuvwxyyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\81\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  ¢£¥§¨ª¬¯²µ¸¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïðññòòòòòòòòññððïîíìëéèçåäãáßÞÜÛÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÂÁÁÁÀÀÀÀ¿¿¿¿¿¿¾¾¾¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼»»»»»»ººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««ªªªªªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡                                 \9f\9f       \9f      \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9d\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8a\88\85\82\7f|yvspmjgda_\ZXVUSRPONNMMLLLLLMNOPPQRTUWXZ[]^_acefgijkmnoqrsstuvwxyyzz{{|}}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\94\93\93\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9a\9c\9e ¡£¦¨¬¯³·»¿ÂÆÊÍÑÔ×ÚÝßáäæçéêìíîïïðññññññññððïîíìëêéçåäâáßÞÜÛÙ×ÖÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»»¼¼¼¼»»»»»»»»»»»»»»»ººº¹¹¹¹¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµ´´´´´³³³³²²²²²±±±±±°°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~zwtpmjgda_\ZXWUSRQPOOOONNOOPQQRSTUWXZ[]^`abdfgijklnopqrstuvwxxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¢¤¦©¬°³·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéëìíîïððñññññòñññðïîîíìëéèæåãâàßÝÛÙØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¾¾¾½½½¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¥¥¤£¢¡ \9f\9d\9b\99\97\95\92\90\8d\8a\87\84\81}zwspmjgda_\ZXVUSRQPOOOONNNOPQRSTTVWXZ\]^`abdfghjklmopqrstuvwwxyzz{{||}}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\96\96\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f     \9f\9f                                          ¡¡¡    ¡¡¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡  ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¢£¥§¨ª¬®°³¶º½ÁÄÈËÎÒÕ×ÚÝßáãåçéêëìîîïðñññòòòòòòòñððïîíìëêèçåäãáàÞÜÛÙØÖÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¿¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¸¸¸····¶¶¶µµµ´´´´´³³³²²²²²²±±±±±±±°°°°°°¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤££££££££££££££££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡                                                  \9f     \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9e\9e\9d\9c\9b\9a\99\97\95\93\91\8f\8c\8a\87\84\81~{xurokhfc`^[YWUTRQPONMMMMLLMMNOPQRSTUWXZ\]^`aceghiklmoprstuvwxyz{{||}~~\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f           ¡    ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¢¢¢¢¢¢¢£¥¦¨©«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëíîîïðññòòòòòóòòòññðïîíìëêèçæäãáàÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÄÃÃÃÃÂÂÁÁÁÁÁÁÁÁÀÀÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾½½½½½½¼¼¼»»»»»ºººº¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´´³³³³³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨©©©©©¨¨§§¦¦¥¤£¢ \9f\9d\9b\98\96\93\91\8e\8b\88\85\82~{xuqnkheb`^\ZXVUSRRQQQQQQQRRSTVVWYZ\]_`acdfgijlmnoprstuvwwxyz{||}}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9c\9d\9f¡¢¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòññðïïîíìëéèæåãâàßÝÛÚØ×ÕÔÓÑÐÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÂÁÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾¾½¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¹¸¸¸¸····¶¶¶¶¶¶µµµ´´´´´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§¨¨¨§§§§§§§§§§§¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤£££££¤¤££££££££££££££££££££££££££¢¢¢££££¢¢¢¢££££¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9e\9e\9d\9c\9b\99\97\96\94\91\8f\8c\8a\87\84\81~{xurnkhec`^[YWUTRQPONNMMMMMMMNOPQRSTUWYZ\]_`bdfgijkmnpqrtuuvwyzz{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                           ¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢££££¢¢¢££££££¢£££££££¢££££££££££££££££££££££££££££££££££££££££¤¥§©ª¬­¯²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòòòòññðïïîíìêéèæåäâáßÝÜÛÙØ×ÕÓÒÑÐÏÎÍÌËÊÉÉÈÈÇÆÆÅÅÅÄÄÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾¾¾¾½½½¼¼¼»»»»ººº¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´´´´´³³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««««««««ªª©©¨¨§¦¥¤¢¡\9f\9d\9b\99\96\93\91\8e\8b\88\84\81~{wtpmjgdb_][YWVTSRQQQQQPPQQRSTUVWYZ\]_`bcdfhiklmopqrtuvwxxyz{|}}~~\7f\7f\80\81\81\81\81\81\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\92\92\92\93\93\93\93\94\93\93\93\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9c\9e ¡£¥¦©«®²µ¹½ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìêéçæåãâàßÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÈÇÇÆÆÅÅÄÃÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸¸¸·····¶¶¶¶¶µµµ´´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­­®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©¨§§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\81}zwspmifda_\ZYWUTRQQPPPPPPPQRSTUUVXY[\^_abceghjklnoprstuvwwxyz{||}}~\7f\7f\80\80\80\80\80\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\84\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¥¦¨«®±µ¹¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìêéçæåäâáßÝÜÚÙ×ÖÕÓÑÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿À¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´³³³³³³³²²²±±±²±±±±°°°±°°°°¯¯°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¦¦¦¥¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¡¡ \9f\9e\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|yurolifc`^\YXVTSQPOONNNNNMNNOPQRSTUWYZ\]_`bcegijlmnpqstuvwxyz{|}}~~\7f\80\80\81\81\81\81\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\84\85\85\85\85\86\86\86\86\86\86\86\86\87\87\87\87\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\93\93\93\94\94\94\94\95\95\94\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                   ¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢££££££££££££££££££££££££££££££££££££££££¤¦§©ª¬®°²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòòòòòññððïîíìêéèçæäãáàÞÝÜÛÙØÖÕÔÓÒÑÐÏÎÍÌÌËÊÊÉÈÈÇÇÇÇÆÆÅÅÅÅÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾½½½½½¼¼¼»»»ºººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµµµµµ´´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬­­¬¬¬¬¬¬¬¬¬¬««««««««««««««««ªªªªªªªªªªªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨¨§¨¨¨¨¨§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££¤¤¤££££¤¤¤¤¤££¤¤¤¤¤¤££¤¤¤¤¤¤£££¤¤¤¤¤£££¢¢¡¡ \9e\9d\9c\9a\98\96\94\91\8f\8c\89\86\83\80}zwspmjgda_]ZXWUSRQPOONNNNNNOOPQRSTUWXZ\]_`aceghjklnoqrstuvwxyz{||}}~\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                           ¡¡    ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢£££££££¤¥§¨ª¬­¯²´·»¾ÁÅÈÌÏÒÕØÚÝßâäåçéêëíîîïðñññòòòòòòòñññððïîíëêéèçæäãáàÞÝÜÚÙ×ÖÕÔÓÒÑÏÎÍÌËËÊÊÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÂÂÂÂÃÃÂÂÂÂÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¾¾¾½½½½½¼¼¼»»»»ººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµµµµµµ´´´³³³³³³³²²²²²²²²±±±±±±±±±°°°°°°°°¯¯¯°¯¯¯¯®®¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««ªª©©¨§¥¤¢ \9e\9c\9a\97\94\91\8e\8b\88\85\81~{wtpmjgda_][YWUTSRQPPPPPPPPQRSTUVWY[\^_abceghjklnopqstuvvwxyz{|||}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\84\84\85\85\85\85\86\86\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\93\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9b\9c\9d\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌÊÉÈÈÇÇÆÅÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿ÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµµ´´³³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤££££¤¤¤£££££¤¤¤£££££¤¤¤££££££¤¤£££££¤¤¤£££££¤¤¤££££££££££££££££££££££££££££££££££¢¢¢¡¡ \9f\9e\9d\9b\99\97\95\93\90\8e\8b\88\86\83\7f|yvrolifca^\ZXVTSQPOONNNNMMMNOPQRSTUWXZ[]^`aceghjklnoqrsuvvwxz{{|}}~\7f\7f\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9d\9f ¢¤¥¨ª­±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððñññòòòòññððïîîíìêéçæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´´³³³³³³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««««««««ªªª©©©¨§¦¥£¢ \9e\9b\99\96\93\91\8e\8a\87\84\81}zvsplifca^\ZXWUSRQQPPPPPPPPQRSTUVWYZ\]_`acdfgijkmnoprstuvvwxyz{{||}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f ¢¤¥¨ª­±´¸¼¿ÃÇËÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿ÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµµµµµµ´´³³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªª««ªªª©©©¨¨§¦¤£¡ \9e\9b\99\96\94\91\8e\8b\88\85\81~{wtqnkheb`][YXVUSRRQQQQQQQQRSTUVWXZ[]^`abdeghjklmnpqrstuvwxyzz{{||}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                                                        ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«¬®±´·º½ÁÄÈËÎÒÕ×ÚÝßáãåçéêëìíîïðñññòòòòòòòñññðïïîíëêéèçæäâáßÞÝÜÚÙ×ÖÔÓÒÑÐÏÎÍÌËÊÊÉÈÈÇÆÆÆÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÂÂÁÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾½½½½½½¼¼¼¼¼»»»»ººº¹¹¹¹¸¸¸······¶¶¶¶¶¶¶µµµ´´´´´´³³³³³³³²²²²²²²±±±°°°±°°°°¯¯¯°¯¯¯¯®®¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££¤¤££££££¤¤££££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤£££££££££££££££££££££££££¢¢¢£££££¢¢¢££££££¢££££££¢¢¢¢¡  \9f\9e\9c\9b\99\97\95\93\90\8d\8b\88\85\82\7f|xurnkheb`][YWUSRQONNNMMMMLMMNOPQRSTUWYZ\]^`bceghjklnoqrstuvwxyz{{||}}~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f      \9f                                   ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«¬®±´·º½ÁÄÈËÎÑÕ×ÚÝßáãåçéêëìíîïððññòòòòòòòññððïîíìëéèçæåãâàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÉÉÈÇÇÆÅÅÅÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½½¼¼¼¼¼»»»ººººº¹¹¹¸¸······¶¶¶¶¶µµµ´´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª©©¨¨§¦¥£¡ \9e\9b\99\96\93\91\8e\8b\87\84\81~zwspmjgda_\ZYWUTRQQPPPPPPPQQRSTUVXY[\^_`bceghjklmopqstuvvwxyz{{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\84\84\85\85\85\85\86\86\86\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9b\9c\9e ¢£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòòñððïïîíìêéçæåãâàßÝÛÚÙ×ÖÕÓÑÐÏÎÍÌËÊÈÇÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½¼½½¼¼¼»»»»»»»ºº¹¹¹¹¹¹¸¸¸······¶¶¶¶¶µµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªª««ªªªªªªªªªª©©¨¨§§¦¥¤¢¡\9f\9d\9a\98\95\93\90\8d\8a\87\84\80}zvsplifca^\ZXVUSRQPPPOOOOOPQRSTUVWXZ\]_`acdfgijkmnoprstuvvwxyz{{{|}}~~\7f\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\9a\9b\9c\9e ¡£¥§ª­°´·»¿ÃÇÊÎÑÔ×ÚÝßáãåçéêìíîïïðññññòòòññððïîííëêéçæåãâàÞÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÈÈÇÆÆÅÅÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸¸¸·····¶¶¶µµµµµ´´´´´´³³²²²²²²²±±±±±±±°°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««««««««ªªªªªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££££££££££££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡  \9f\9e\9d\9c\9a\98\96\94\92\8f\8d\8a\87\84\81~{xtqnkheb`][YWUTRQOONNMMMMMMMNOPQRSTVWYZ\]_`bdegijklnoqrstuvwxyz{{||}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¥§©¬°´·»¿ÃÆÊÎÑÔ×ÚÝßáäåçéêìíîïïðññññòòòññððïîîíëêèçæäãâàÞÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÃÂÂÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´´´´³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©ªªªª©©©¨¨§§¦¥£¢ \9f\9d\9a\98\95\93\90\8d\8a\87\83\80}yvsolifc`^\ZXVUSRQPPPOOOOOPQRSTTUWXZ[]^_abdegijklmopqstuuvwxyzz{{||}}~~~\7f\7f\7f\80\80\80\80\81\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\9a\9b\9c\9e\9f¡£¤§©¬°³·»¿ÃÆÊÍÑÔ×ÚÜßáãåçéêëíîïïððññññòòññððïîîíëêèçæäãâàÞÝÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¿¾¾¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»»ºº¹¹¹¹¹¸¸······¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®¯¯®®®­­®®®­­­­¬­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨§¦¥¤£¢ \9e\9c\9a\98\95\92\90\8d\8a\87\83\80}yvspmigda_][YWUTSRQQPPPPPPQRSTUVWXZ[]^`abdeghjklmopqrtuuvwxyyz{{||}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f                                                 ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¢£¤¦§©ª¬®°³¶º½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëêéçæåãâàßÝÜÛÙØÖÕÔÒÑÐÏÎÍÌËÊÊÉÈÈÇÆÅÅÅÅÄÄÃÃÃÂÂÂÂÂÂÂÁÁÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¾¾¾½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµµ´´³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡ \9f\9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\85\82\7f{xurokhfc`^[YWVTSQPONNNMMMMMMNOPQRSTUWXZ[]^`acefhijlmopqsttuvxyyz{{||}~~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                                          ¡¡¡     ¡¡¡    ¡¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¢¤¥§©ª¬®°³¶º½ÀÄÇËÎÑÔ×ÚÝßáãåçèêëìíîïðñññòòòòòòòññðïïîíìêéèçåäãáßÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍËÊÊÉÉÈÇÆÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸·····¶¶¶¶µµµµµµµ´´´´´´´³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««¬««««ªª«««ªªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§¨¨¨¨¨§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤£££££¤¤¤£££££¤¤¤££££££¤¤££££££¤¤£££££¤¤¤££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9b\9a\98\96\94\92\8f\8c\8a\87\84\81~{wtqnjgeb_][YWUSRPONNMMMMLLLMNOOPQRSUVXZ[]^_acefhijlmopqrstuvwxyz{{||}~~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                                           ¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¤¥§¨ª«­°³¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòññððïîíìëêèçæåãâàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍËÊÉÉÈÈÇÆÆÅÄÄÄÄÃÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÁÀÀÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½½½½¼¼»»»»»ººº¹¹¹¹¸¸¸¸·····¶¶¶µµµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©¨¨§¦¥¤¢¡\9f\9d\9a\98\95\93\90\8d\8a\87\83\80}yvsolifc`^\ZXVUSRQPPPPOOOPPQRSTUVWYZ\]_`acdfgijkmnoprstuuvwxyz{{{|}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\83\83\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9c\9d\9f¡¢¤¦©¬¯³·»¿ÂÆÊÍÑÔ×ÚÜßáãåçéêìíîïïðññññòòòññðïïîíìëêèçåäãáßÞÜÚÙØÖÕÓÒÐÏÎÍÌËÊÈÇÆÅÅÄÄÃÂÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»ºººººº¹¹¹¹¹¸¸¸¸·····¶¶¶µµµµµ´´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««««««««ªªªªªªªªª©©©©©©©©¨¨¨§§¦¥¤£¡ \9e\9c\99\97\94\92\8f\8c\89\86\83\7f|xurnkheb`][YWVTSQPPOOOOOOOOPQRSTUVXY[\^_`bceghjklmopqrstuvwxyyz{{{|}}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9c\9d\9f¡¢¤¦©¬¯³·»¾ÂÆÊÍÑÔ×ÚÜßáãåçéêìíîïïðññññòòòññðïïîíìëéèçåäâáßÞÜÚÙ×ÖÕÓÑÐÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÁÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾¾½½¾¾¾¾½½½½½½¾½½½½½½½½¼¼¼¼»¼¼»»»ººººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨§§¦¦¥¤£¡\9f\9e\9c\99\97\95\92\8f\8c\89\86\83\80}yvspmjgda_][YWUTSRQQPPPPPPQRSTUVWXY[\^_abcefhiklmnoqrstuvvwxyzz{{||}}~~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9c\9d\9f ¢¤¦©¬¯³·»¾ÂÆÊÍÐÔ×ÚÜßáãåçéêëíîïïððñññññññððïîîíìëéèæåäâáßÝÜÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡  \9f\9f\9e\9d\9c\9b\99\97\95\93\91\8e\8c\89\86\84\81}zwtpmjgdb_]ZXVUSRPONNMMMLLLLMNNOPQRSUVXY[\^_abdfgijkmnoqrstuvwxyzz{{||}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f                                                   ¡            ¡£¤¦§©ª¬¯²µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòññððïîíìëêèçæåãâàÞÝÛÚÙ×ÖÔÓÒÐÐÏÎÍËÊÉÉÈÇÇÆÅÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿ÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯¯®®¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   \9f\9f\9e\9e\9d\9c\9a\99\97\95\93\91\8e\8c\89\86\83\80}zwspmjgda_]ZXVUSRPONNMMMLLLLMNNOPQRSUVXY[\]_abdfgijkmnpqrstuvwxyzz{{|}}~~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢¤¦¨¬¯³¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññòòñññðïîîíìëéèæåäâáßÝÜÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾¾½½½½½¾½½½½½½½½½½½¼½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±±±±°°°¯¯¯°¯¯¯¯¯®¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨©¨¨¨¨§§¦¦¥¤¢¡\9f\9e\9c\99\97\94\92\8f\8c\89\86\83\7f|yurokhec`^[YXVTSQQPOOOOOOOPPQRSTUVXY[\^_`bcefhijlmnoqrsttuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f                                                       ¡£¤¦§©«­¯²µ¸¼¿ÃÇÊÍÑÔ×ÙÜßáãåçèêëìíîïððññòòòòòòññððïîíìëêèçæåãâàÞÝÛÚÙØÖÕÓÒÑÐÏÎÍËÊÉÈÈÇÇÆÅÄÄÃÃÃÂÂÂÁÁÁÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶µµµ´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯®¯¯¯®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªªª©©©©©©©©©¨¨¨§§¦¥¤£¢ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80|yurolifc`^\ZXVTSRQPPOOOOOOPPQRSTUVXY[\^_`bdeghjklmnpqrstuvwxyyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëíîïïðñññññòñññðïîîíìëéèæåãâàßÝÛÚØ×ÖÔÓÑÏÎÍÌËÊÉÈÆÅÅÄÄÃÂÁÁÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ººººº¹¹¹¹¸¸¸¸····¶¶¶µµµµµ´´´´´³³³²²²²²²²±±±±±±±°°°¯¯°°¯¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§§¦¥¥¤¢¡\9f\9d\9b\99\97\94\92\8f\8c\89\86\82\7f|xurnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWY[\]_`acefhijkmnoqrsttuvwxyzzz{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¥¨«¯²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçèêëìíîïðððñññññððïîîíìëêèçåäâáßÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀ¿¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½¼¼¼½½¼¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸¸¸·····¶¶¶µµµµ´´´³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8b\88\85\82\7f|xurnkheb`^[YXVTSQQPPOOOOOOPQRSTUUWXZ[]^_abdeghjklmnpqrstuvwxxyzz{{||}~~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\97\97\98\99\9a\9c\9e\9f¡£¥¨«®²¶º¾ÁÅÉÍÐÓÖÙÜÞáãåçèêëìîîïððñññññññððïîííìêéçæäãâàßÝÛÙØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½½½½½½½½½¼¼¼¼½½½¼¼¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®®­®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡                                            \9f\9f\9e\9d\9d\9b\9a\99\97\95\93\91\8e\8c\89\86\83\80}zvspmjgda_\ZXVTSQPONNMMMLLLLMNNOPQRSTVWYZ\]_`bdeghijlmopqrstuvwxyyzz{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\91\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\93\94\94\94\94\95\95\95\94\95\95\95\95\95\96\96\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f       ¡¢¤¥§¨ª¬¯±µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½¼¼»»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´´´´³³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡      ¡                    \9f\9f\9e\9e\9d\9c\9b\9a\98\97\95\92\90\8e\8b\89\86\83\80}yvspmigda^\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]_`bdeghiklnoprstuvwxyyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\94\94\94\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨«®²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçéêëíîïïððñññññññððïîíìëêéçæäãáàÞÜÛÙØÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀÀ¿¿¿¿¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»ººººººº¹¹¹¹¹¹¸¸·······¶¶µµµµµµ´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©ªªª©©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8c\89\86\82\7f|yvrolifca^\ZXWUTRQQPPPPPPPPQRSTUVWXY[\^_`bcefhijklnopqrstuvwxxyyzz{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡¢¥¨«®²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçéêëìíîïððñññññññðïîîíìëêèçåäâáßÞÜÚÙ×ÖÔÓÑÐÎÍÌËÊÉÈÆÅÄÄÃÂÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½½¼¼¼½½½½¼¼¼¼¼¼¼¼¼¼»»»¼¼»»»ººººººº¹¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´³³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­­¬­­¬¬¬¬«««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¢ \9f\9d\9b\98\96\93\91\8e\8b\88\85\82~{xtqnjgdb_][YWUTRQPOOOONNNOOPQRSTTVWXZ[]^_abdfghjklmopqrstuvwwxyyzz{||}}}}~~~\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡£¥¨«¯²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññññññððïîíìëêéçæäãáàÞÜÛÙ×ÖÕÓÒÐÎÍÌËÊÉÈÇÅÅÄÃÃÂÁÁÀ¿¿¿¿¾¾¾½½½½½½½½¼¼¼¼¼½¼¼¼¼¼¼¼¼¼¼¼»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸······¶¶µµµµµµ´´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®®­®®­­­­¬¬¬­¬¬¬¬««««««««ªªªªªªªª©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¥¤£¢¡ \9e\9c\9a\98\96\93\91\8e\8b\88\85\81~{wtqnjgdb_][YWUTRQPOOOONNNOOPQRSTUVWYZ\]^`acdfgijklmopqrsttuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\93\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e\9f¡£¥¨«¯³·»¾ÂÆÊÍÑÔ×ÚÜßáãåçéêëíîïïððñññññññðïîîíìëêèçåäâáàÞÜÚÙ×ÖÕÓÒÐÎÍÌËÊÉÈÇÅÅÄÃÃÂÁÁÀ¿¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸······¶¶¶µµµµµ´´´³³³³³²²²±±±±±±°°°°°°°¯¯¯®®®¯®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨¨§§§¦¦¦¥¤¤£¡ \9e\9d\9b\98\96\94\91\8e\8b\88\85\82\7f{xuqnkheb`][YXVTSRQPPPOOOOPPQRSTUVWXZ[]^`abdeghjklmnpqrstuvvwxyzzz{{|}}}}~~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡£¥¨«¯³·»¾ÂÆÊÍÑÔ×ÚÝßáäæçéêìíîïððññññññññðïïîíìëêèçåäâáàÞÜÚÙ×ÖÔÓÑÐÎÍÌËÊÉÈÇÅÄÄÃÃÂÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½¼¼¼¼¼½¼¼¼¼¼¼¼¼¼¼»»»»»»»»ºººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµµµ´´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\96\93\90\8e\8b\88\85\81~{wtqnjgdb_][YWUSRQPOOONNNNNOPQQRSTUWXZ[]^_abdfghiklmnpqrsstuvwxxyyzz{{||||}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¦©¬°³·»¿ÃÇÊÎÑÕØÚÝàâäæèéëìíîïððññññññññððïîíìëêèçåäãáßÞÜÚÙ×ÖÔÓÑÐÎÍÌËÊÉÈÇÅÄÄÃÂÂÁÀ¿¿¿¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµ´´´´³³³³³³²²±±±±±±±°°°°°°¯¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©ªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\91\8e\8b\88\85\82\7f|xurolifc`^\ZXVUSRQPPPOOOOOPPQRSTUVWYZ\]^_abdfghjklmnpqrsttuvwxyyyzz{|||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡£¦©¬°³·»¿ÃÇËÎÑÕØÛÝàâäæèéëìíîïððñññññññððïîíìëêéèæäãâàßÝÛÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»¼¼¼¼»»»»»»»»ºººººººº¹¹¹¸¸¸¸¸¸······¶¶µµµµµ´´´³³³³³³²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\90\8e\8b\88\85\81~{wtqmjgdb_][YWUTRQPOOOOONNOOPQRSTUVWYZ\]_`acdfhijklnopqrstuvwwxyyzz{{|}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\99\9a\9c\9d\9f¡£¦©¬°´¸¼ÀÄÇËÏÒÕØÛÞàâäæèêëìíîïððññññññññðïïîíìëêèçåäãáàÞÜÚÙ×ÖÔÓÒÐÎÍÌËÊÉÈÆÅÄÃÃÂÂÁÀÀ¿¿¿¾¾¾¾½½½½½½½¼¼¼¼¼¼¼¼¼»»»»¼¼»»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³²²²²²±±±±±±°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§¦¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\98\95\93\90\8e\8b\88\85\81~{wtqnjgeb_][YWUTRQPPOOONNNNOPPQRSTUWXZ[]^_abdeghiklmnpqrsstuvwxxyyzz{{|||}}}}~~~~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢¤¦§©«®°´·»¾ÂÅÉÌÐÓÖÙÛÞàâäæèéëìíîïððññòòòòòòòñððïïîíìêéçæåãâàÞÝÛÚØ×ÖÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»ºººº¹¹¸¸¸¸¸¸··¶¶¶¶¶µµµ´´´´´´³³³²²²²²²±±±±±±±°°°¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡                                                                 \9f      \9f\9f\9f      \9f      \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f\9f\9e\9d\9d\9c\9a\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvrolifca^\ZXVTRQPONMMLLLLLLLMNOPQRSTVWYZ\]_`bdeghiklmopqrstuvwxyyzz{{|}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\80\80\80\81\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¥¦¨ª¬®±´¸»¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòòññðïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»ººººº¹¹¹¸¸¸¸····¶¶¶¶¶µµµ´´´´´´³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\91\8e\8b\88\85\82\7f{xurnkhec`^\ZXVUSRQPPPPOOPPQQRTUVWXY[\^_`bcefhijlmnoprsttuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\86\87\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\98\99\9b\9d\9f¡£¥¨«¯²¶º¾ÂÆÊÍÑÔ×ÚÝßáäæçéêìíîïððññññòòñññðïîíìëêéçæäãáàÞÝÛÙ×ÖÔÓÒÐÏÍÌËÊÉÈÇÅÄÃÃÂÂÁÀÀ¿¾¾¾¾½½½¼¼¼¼¼¼¼»»»»»»»»»»»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸·······¶¶µµµµµµ´´´´´´³³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­¬¬¬««¬¬¬««««««««ªªªª©ªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¤¤£¢¡ \9f\9d\9b\99\97\95\92\90\8d\8a\87\84\81}zwspmjgda_\ZXVUSRQPOONNNNNNOPQRSTUVXY[\^_`bceghijlmnoprsstuvwxxyyzz{{||}}}}}~~~~~~\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\86\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨«¯³·º¾ÂÆÊÍÑÔ×ÚÝßáäæçéêìíîïððñññññññññðïîîíìëéèæåãâàßÝÛÙØÖÕÓÒÑÏÍÌËÊÉÈÇÆÅÄÃÂÂÁÀÀ¿¾¾¾¾¾½½¼¼¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»»»»»»»»ºººº¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦§¦¦¦¦¥¥¤¤£¢¡ \9f\9d\9b\9a\97\95\92\90\8d\8a\87\84\81~{wtqnkheb_][YWVTSQPPOOOOOOOPQQRSTUWXZ[]^_abdeghijlmnoqrsstuvwxxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\99\9b\9c\9e ¢¤¦©¬¯³·»¿ÃÆÊÎÑÔ×ÚÝßâäæçéêìíîïððññññññññððïîíìëêèçåäâáßÞÜÚØ×ÕÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÃÂÁÁÀÀ¿¾¾¾¾¾½½¼¼¼¼¼¼¼¼»»»¼¼¼¼¼»»»»¼¼»»»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµ´´´´´³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­¬­­¬¬¬¬««««««««ªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§¦¦¦¥¥¤¤£¢ \9f\9d\9c\9a\97\95\92\90\8d\8a\87\84\81~zwtpmjgda_]ZXWUSRQPOOOOOOOOPQRSTUVWYZ\]_`acefhijlmnoprstuuvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9a\9b\9d\9f¡¢¤¦©¬°´·»¿ÃÇÊÎÑÔØÚÝßâäæèéëìíîïððñññòòòòññðïïîíìëéèæåãâàßÝÛÙØÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¿¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼»»»¼¼¼»»»»»»»»ºººº¹¹¹¹¹¹¸¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´³³²²²²²²±±±°°°°°°¯¯¯¯¯¯®®®®­®®®­­­­­­­­¬¬¬««««««««ªªª««ªªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§§¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\97\95\93\90\8d\8a\87\84\81~zwspmjgda_\ZXVUSRQPOOOONNOOPQRSTUVWYZ\]_`acdfhijkmnoprsttuvwxyyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\93\92\93\93\93\93\93\94\93\93\94\94\94\94\95\95\95\95\95\95\95\95\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9c\9e\9f¡£¥§ª­°´¸¼¿ÃÇËÎÑÕØÚÝßâäæçéêìíîïððññññòòòññðïîîíìëéèæåãâàßÝÛÙØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ºº¹¹¸·¶µ³²°®¬©¦¤¡\9e\9a\97\94\90\8c\89\85\82~{wtpmjgeca_][ZYYXXXXXXXYZ[\]^_abdeghijlmoprstuvwxz{{|}}~\7f\80\80\81\81\82\82\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\86\86\87\87\87\87\87\87\87\88\88\88\88\88\88\88\88\89\89\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\94\93\93\94\94\94\94\95\95\95\95\95\95\95\95\96\96\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9c\9d\9f¡£¤¦¨«®²µ¹½ÀÄÈËÏÒÕØÛÞàâäæèéëìíîïððñññòòòòòñððïîíìëêèçåäâáßÞÜÚÙ×ÖÔÓÒÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÂÁÀÀÀÀÀ¿¿¾¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸¸·····¶¶¶¶¶µµµµ´´´´´³³³²²²²²²±±°°°°°°°¯¯¯®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡      ¡¡¡     ¡¡¡     ¡¡¡                                                                                          \9f                      \9f\9f      \9f\9f      \9f\9f                                              \9f\9f\9f     \9f\9f      \9f\9f      \9f\9f\9f     \9f\9f      \9f\9f      \9f\9f      \9f\9f      \9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f                  ¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¢¢¢¡¡¡¢¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9c\9a\99\97\95\93\90\8e\8b\88\86\83\80|yvsolifca^\ZXVTSQPONNMMMMMMMNOPQRRTUWXZ\]_`bdegijkmnpqstuvwxyz{|}}~~\7f\80\80\81\81\81\81\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\95\94\95\95\95\95\95\95\95\95\95\96\96\96\96\97\97\96\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f      \9f                           ¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢£££££££££££££££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢£££££¢££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¤¤¤£££££¤¤¤££££££¤¤££££££¤¤£££££¤¤¤¤£££¤¤¤¤¤££££¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤£££¤¤¤¤¤¤££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££¤¤££££££¤¤££££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££¤¤£££££¤¤¤¤£££¤¤¤¤££££££¤¤£££££¤¤¤¤£££¤¤¤¤¤¤£¤¤¤¤¤¤¤£¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¥¥¥¥¥¦¦¦§§§§§§§¨¨¨§§§¦¦¦¥¥¤££¢¡  \9f\9e\9d\9c\9b\9b\9a\99\98\98\97\96\95\94\94\93\93\92\92\91\90\90\90\8f\8f\8f\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\91\91\91\91\91\92\92\92\93\93\93\93\94\94\94\94\95\95\95\95\95\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                           ¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££££¢¢¢¢££££¢¢££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¤£££££¤¤¤¤£££¤¤¤¤£££££¤¤¤££££££¤£££££££££££££££££££££££¤¤£££££¤¤¤£££££¤¤¤¤£££¤¤¤¤¤¤£¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¥¦§©ª«­¯±³¶º½ÀÃÇÊÍÐÓÖÙÛÞàâäæèéêìíîïïðññòòòóóóóóóóóóóóóòòòñññðïïîííìëêéèçæåäãâáàßÞÝÝÜÛÚÙØ××ÖÕÕÔÓÒÒÒÑÑÐÐÏÏÎÎÎÎÎÍÍÌÌÌÌÌÌËËÊÊÊÊÊÊÉÉÈÈÈÈÈÈÇÇÆÆÆÆÆÆÅÅÄÄÄÄÄÄÃÃÂÂÂÂÂÁÁÀÀÀÀ¿¿¿¾¾½½½½½¼¼»»»»»»ººº¹¹¹¹¹¹¸¸¸······¶¶¶¶¶¶µµµ´´´´´´´³³³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®­­¬¬«ª©¨¦¥£¡\9e\9c\99\97\94\91\8e\8b\87\84\80}yvsolifc`^\ZYWUTSRRRQQQQRRSTUVWXZ[]_`bcefhjkmnpqrsuvwxyz{|}~\7f\7f\80\80\81\81\82\82\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\86\86\87\87\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9d\9f ¢¤¥§©¬¯²¶¹½ÁÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÍËÊÉÈÈÇÆÆÅÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÁÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµ´´´´´´³³³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©¨¨§¦¥¤£¡\9f\9d\9b\99\96\93\91\8e\8b\87\84\81~zwspmjgda_\ZYWUTRRQQPPPPPPQRSTUVWXY[\^_abceghjklnoprstuvwxyz{{||}}~\7f\7f\80\80\80\80\80\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¹¼ÀÄÇËÎÒÕØÛÝàâäæçéêìíîïððñññòòòòññððïîíìëêèçæäãâàÞÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÃÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿ÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµµ´´´´´´³³³²²²²²²²±±±±±±±°°°¯¯¯°°¯¯¯®®¯¯¯®®®®®®®®®®­­­­­­­­¬¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªª©©¨¨§¦¤£¡ \9e\9b\99\96\94\91\8e\8b\88\84\81~zwtpmjgda_][YWVTSRQQQQPPPQQRSTUVWYZ\]_`bcdfhiklmnpqrtuvwxxyz{|}}~~\7f\7f\80\80\81\81\81\81\81\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\93\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¹¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÉÈÈÇÇÆÆÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿ÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´³³³³³³²²²²²²²±±±°°°±±°°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬­­­­¬¬¬¬¬¬¬¬¬«««««««««ªª©©¨§¦¥¤¢ \9e\9c\99\96\94\91\8e\8b\88\84\81~zwspmjgda_][YWUTSRQQPPPPPPQRSTUUVXY[\^_abceghjklmopqstuvvwxyz{||}}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\93\93\92\93\93\93\93\94\94\94\93\94\94\94\94\95\95\95\94\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9c\9d\9e ¡£¥¦©«®²µ¹½ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÉÉÈÇÇÆÆÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¸¸¸······¶¶µµµµµµµ´´´´´´´³³²²²²²²²²±±±±±±±°°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬««««««««««ªª©©¨§¦¥¤¢ \9e\9c\99\97\94\91\8e\8b\88\85\82~{xtqnkheb`^\ZXWUTSRRQQQQQQRSTUVVWYZ\]_`abdegijkmnoprstuvvwxyz{|||}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9b\9c\9d\9f¡¢¤¦¨«®±µ¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððñññòòòòòñððïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿ÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµµ´´´´´´´³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬­­­­¬¬¬¬¬¬¬¬«««««««««ªªª©©¨§¦¥¤¢ \9e\9c\99\96\94\91\8e\8b\87\84\81}zwspmifda^\ZXWUTRQQPPPPPPPQQRSTUVXY[\^_abceghjklnoprstuvwxxyz{||}}~~\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f ¢¤¥¨ª­±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððñññòòòòòññðïïîíìëéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµµµµµ´´´³´´³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªªª©©¨§¦¥£¢ \9e\9b\99\96\93\91\8e\8b\87\84\81}zvsplifca^\ZXWUSRQPPPPPOOPPQRSTUVWYZ\]_`acdfhiklmnoqrstuvwxyz{{||}}~\7f\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\9a\9a\9a\9a\9a\9b\9b\9b\9b\9c\9d\9f¡£¤¦¨«®±µ¸¼ÀÃÇËÎÑÕ×ÚÝßâäæçéêìíîïïðññññòòòññððïîîíìêéçæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÍËÊÉÈÇÇÆÆÅÄÄÃÃÃÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼¼»»»»ºººº¹¹¹¹¸¸¸····¶¶¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªª©©¨§¦¥£¢ \9e\9b\99\96\94\91\8e\8b\87\84\81~zwspmjgda_][YWUTSRQQPPPPPQQRSTUVWXZ[]^`abdegijlmnoprstuvwxyz{{|}}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9d\9f ¢¤¥¨ª­±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððñññòòòòòñððïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿ÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´´³³³³³³³²²²±±±²±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®¯¯®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««««ªª««««ªªªªªªªªªª©©ªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¡¡ \9f\9e\9d\9b\99\97\95\93\90\8e\8b\88\85\82\7f|yurolifc`^[YWVTRQPONNMMMMMMMNOPQRSTUWXZ[]^`aceghiklnoqrstuvwxyz{{||}~~\7f\7f\7f\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\92\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f                                                  ¡¡¡    ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¦¨©«­¯±´·º¾ÁÄÈËÎÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòññððïîíìëêèçæåãâàÞÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÈÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½¼¼¼¼¼»»»ººº¹¹¹¹¸¸······¶¶¶¶¶¶µµµ´´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª««««ªªªªªªªªª©©©©ªªª©©©©©©©©©¨¨¨¨¨©¨¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££¤¤¤¤££££¤¤¤¤££££¤¤¤££££££¤£££££££££££££££££££££££££££¢££££££££££££££££££££££¢¢¢£££££¢¢¢££££¢¢¢¢¡¡ \9f\9f\9d\9c\9b\99\97\95\92\90\8d\8b\88\85\82\7f{xuqnkheb`][YWUSRQOONNMMMMLMMNOPQRSTUWYZ\]^`bceghiklmoprsttuvwxyz{{||}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                          ¡¡¡    ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¦§©«¬®°³¶º½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëêéçæåãâàßÝÜÛÚØ×ÕÔÓÒÑÐÏÎÌËËÊÊÉÈÇÇÆÆÆÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼¼»»»»»ººº¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´´³³³³³³²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««¬¬¬«««««««««ªªªªªªªªªª©ªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££££££££££££££££££¢££££££¢¢¢££££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢£££££¢¢¢¡¡ \9f\9e\9d\9c\9a\98\96\94\92\90\8d\8a\87\85\82~{xuqnkheb`][YWUSRQOONNMMMMLMMNOPQRSTUWYZ\]^`bceghjklnoqrstuvwxzz{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\95\95\94\94\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f                   ¡¡      ¡                     ¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢£¤¦§©«¬®±³¶º½ÁÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòòññððïîíìêéèçåäâáßÞÜÛÚØ×ÕÔÓÑÐÏÎÍÌËÊÊÉÈÈÇÆÅÅÅÄÄÄÃÃÃÂÃÃÃÂÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼¼»»»»»ººº¹¹¹¹¹¸¸······¶¶µµµµµµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬««««ªª«««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤££££¤¤¤£££££¤¤¤££££££¤¤££££££££££££££££££¢££££££¢¢¢££££££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¡¡  \9f\9e\9d\9c\9a\98\97\94\92\90\8d\8a\88\85\82\7f|xurolifc`^\YXVTSQPOONNNNMMNNOPQRSTUVXZ[]^_acefhiklmopqstuvwxyzz{||}}~\7f\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\82\82\83\83\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f                          ¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¢¢£¤¦§©«¬®±³·º½ÁÄÈËÎÑÔ×ÚÝßáãåçéêëìíîïðñññòòòòòòòñññðïïîíëêéèæåäâàßÝÜÛÚØ×ÕÔÓÒÑÏÎÍÌËÊÊÉÈÈÇÆÅÅÅÅÄÄÃÃÃÂÂÂÂÂÂÁÁÁÁÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤££££££££££££££££££££££££££¢£££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢££££¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\88\85\82\7f|xurolifc`^\ZXVTSQPONNNMMMMMNNOPQRSTUWYZ\]^`aceghiklmopqsttuvxyyz{{||}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\98\98\98\97\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f                  ¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¤¥§¨ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëêèçæåãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÌËÊÊÉÈÈÇÆÅÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµµ´´´³³³³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¡¡ \9f\9f\9e\9c\9b\9a\98\96\94\91\8f\8c\8a\87\84\81~{wtqnjgeb_][YWUSRPONNMMMMLLLMNNOPQRSUVXY[\^_acdfhijkmnpqrstuvwxyz{{||}~~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\87\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f      \9f                                  ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢£¤¦§©ª¬®°³¶º½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòñððïïîíìêéèçåäâáßÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌËÊÉÉÈÈÇÆÅÅÅÄÄÄÄÃÃÃÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½½¼¼»»»»ººº¹¹¹¹¸¸¸¸···¶¶¶¶¶¶µµµµµ´´´³³³³³³³²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®¯¯®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©ªª©©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££££££££££££££¢££££££¢¢££££££¢¢¢£££££¢¢¢£££££¢¢¢££££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡  \9f\9f\9e\9c\9b\9a\98\96\94\91\8f\8c\8a\87\84\81~zwtqmjgdb_]ZXVUSRPONNMMMMLLLMNOOPQRSUVXZ[\^_acdfhijkmnpqrstuvwxyzz{||}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f      \9f                   ¡¡                      ¡¡    ¡¡¡¡¡   ¡¡¡¡¡¡¡¢£¥¦¨ª«­°³¶¹½ÀÄÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëêèçæåãâàÞÝÜÚÙ×ÖÔÓÒÑÐÏÎÌËÊÉÉÈÈÇÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½¼¼¼»»»ºººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµµµµµ´´´³³³³³³³²²²²²²±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªª««ªªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡   \9f\9e\9d\9c\9b\99\98\96\93\91\8f\8c\8a\87\84\81~{wtqnkheb_][YWUSRPONNNMMMMMMMNOPQRSTVWYZ\]_`bdegijklnoqrstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\83\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\93\93\92\92\93\93\93\93\94\93\93\93\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                                                                       ¡¡£¤¦¨©«­¯²µ¸¼¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòòñððïïîíëêéçæåäâàßÝÜÚÙØÖÕÓÒÑÐÏÎÌËÊÉÈÈÇÇÆÅÄÄÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼»»»»»»ººº¹¹¹¹¹¸¸·····¶¶¶µµµµµµ´´³³³³³³²²²²²±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨§§§¦¥¤£¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\86\82\7f|xurnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWYZ\]_`acdfhijklnopqrstuuvwxyyyz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\97\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçéêëíîîïððñññññññðïîîíìëêèçåäâáßÞÜÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÅÅÄÃÃÂÂÁÀÀ¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½¾½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´´´´³³³²²²²²²²±±±°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡      ¡                    \9f\9f\9f\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zwspmjgdb_]ZXVUSRPONNMMMLLLLMNNOPQRSUVXY[\]_`bdeghiklnopqrstuvwxyyzz{|}}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëíîïïðñññññòñññðïîîíìëéèæåäâáßÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀÀÀ¿¿¾¾¾¾¾¾¾½½½½½½½½½½¼¼½½½½¼¼¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´´´´³³³³²²²²²²²±±±°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¤£¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\85\82\7f{xuqnkheb`][YWVTRQPPOOOOONOOPQRSTUVWYZ\]^`abdfghjklmnpqrsstuvwxyyyz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f      \9f\9f\9f     \9f\9f\9f       ¡¢¤¥§¨ª¬¯±µ¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòòñððïîîíëêéçæåãâàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÃÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´´´³³³³²²²²²²²±±±°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9e\9d\9b\9a\99\97\95\93\91\8e\8c\89\86\83\80}zvspmjgda_\ZXVTSQPONMMMMLLLLMMNOPQRSTVWY[\]_`bdeghiklnopqrstuvwxyyzz{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\98\99\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f      \9f        ¡¢¤¥§¨ª¬®±´¸»¿ÂÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððñññòòòòòññððïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿ÀÀÀ¿¿¿¿¾¿¿¾¾¾½½½½½½½¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸¸····¶¶µµµµµ´´´³³³³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®®­­®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©¨¨¨§§¦¦¥¤¢¡\9f\9e\9c\99\97\94\92\8f\8c\89\86\83\7f|yurolifc`^\ZXVTSRQPPOOOOOOPQRSTUVWXZ[]^_abdeghjklmopqrstuvwxxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\9a\9b\9d\9f ¢¤§ª®²µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïððñññññññððïîíìëêéçæäãâàÞÝÛÙØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½½¼¼½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»ºººººº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´³³³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤£££££££££££¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡                   \9f\9f\9e\9e\9d\9c\9b\9a\98\96\95\92\90\8e\8b\89\86\83\80}zvspmjgda_\ZXVUSQPONNMMLLLLLLMNOPQRSTVWYZ[]^`bcefhijlmnpqrstuvwxxyyzz{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\91\92\92\92\92\92\93\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f   \9f\9f ¡¢¤¥§¨ª¬®±´¸»¿ÂÆÉÍÐÓÖÙÜÞáãåæèêëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀ¿¿¿¾¾¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»»ººººº¹¹¹¹¹¸¸¸····¶¶¶¶µµµ´´´´´³³³³³³²²±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££¤£££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡¡    ¡¡¡                                           \9f\9f\9e\9e\9d\9c\9b\9a\98\96\94\92\90\8e\8b\88\86\83\80}yvspmigda^\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]^`bdeghijlmopqrstuvwxxyyz{{|}}}}~~\7f\7f\7f\7f\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢£¥§¨ª¬®±´¸»¿ÂÆÉÍÐÓÖÙÜÞàãäæèéëìíîïððñññòòòòòññðïïîíìêéèæåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÉÉÈÇÇÆÅÅÄÃÃÃÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾½½½½½¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´´³³³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££¢££££££¢¢¢££££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡                                                  \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9e\9e\9d\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvspligda_\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]^`bceghijlmopqrstuvwxyyz{{|}}}~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¢£¥¦¨ª¬®±´¸»¿ÂÆÉÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòññððïîíìëêèçæäãáàÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌÊÉÈÇÇÆÆÅÄÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½¾½½½½¼¼¼¼¼¼¼»»»»»ººº¹¹¹¸¸¸¸¸····¶¶¶¶µµµµ´´´´³³³³³²²²±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡    ¡¡¡      ¡                                                                           \9f       \9f\9f\9f\9e\9e\9d\9c\9b\99\98\96\94\92\90\8e\8b\89\86\83\80}zwspmjgdb_]ZXWUSRPONNMMMMMMMMNOPQRSTUWXZ[]^`acefhijlmopqsttuvwxyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¥¦¨ª¬®±µ¸¼¿ÃÆÊÍÑÔ×ÙÜßáãåçèêëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ººººº¹¹¹¹¸¸¸¸···¶¶¶¶¶µµµ´´´´´³³³²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡                                                          \9f      \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f\9e\9e\9d\9d\9c\9b\99\98\96\94\92\90\8e\8b\89\86\83\80}zwtqmjheb_][YWUTRQPONNMMMLLLMMNOPQRSTVWYZ[]^`bcefhijlmnpqrstuvwwxyyzz{||}}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\92\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9f ¡£¤¦¨©¬®²µ¸¼ÀÃÇÊÎÑÔ×ÚÝßáãåçéêëíîîïðñññòòòòòòññðïïîíìëéèæåäâáßÝÜÚÙ×ÖÕÓÑÐÏÎÍÌËÊÈÇÇÆÅÅÄÃÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶µµµ´´´´´´³³²²²²²²²±±±°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡      ¡¡                          \9f      \9f\9f\9f    \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9e\9e\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8a\88\85\82\7f|yurolifca^\ZXVTRQPONMMLLLLLLLMNOOPQRTUWXZ[\^`acefgijkmnoqqrstuvwxxyyz{{|||}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f ¢£¥§¨ª­¯²¶¹½ÁÄÈËÏÒÕØÛÝàâäæçéêìíîïïðñññòòòòòòñððïîîíìêéçæåäâáßÝÛÚÙ×ÖÕÓÑÐÏÎÍÌÊÉÈÇÆÆÅÅÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼½½½¼¼¼¼»»»»»»ººººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««ªªªªªªª©©ªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤££££¤¤¤££££££££££¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡                                                         \9f      \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\98\97\95\93\91\8f\8c\8a\87\84\82\7f|xurolifc`^\YWVTRQPONMMLLLLKLLMMNOPQRTUWXZ[\^_acdfghjkmnopqrstuvwxxyyz{{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f¡¢¤¥§¨ª­°³¶º¾ÁÅÈÌÏÒÖØÛÞàâäæèéëìíîïððññòòòòòòòññðïïîíìëéèæåäâáßÝÜÚÙ×ÖÕÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÃÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»ººººº¹¹¹¸¸¸¸····¶¶¶¶µµµµ´´´´´´³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡                  \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9c\9c\9b\9a\98\97\95\93\91\8f\8d\8a\87\85\82\7f|yurolifca^\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]^`bcefhijlmopqrstuvwxxyyzz{||}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\95\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f ¡¢¤¦§©«®±´¸»¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïðñññòòòòòòòññðïïîíìêéèæåäâáßÝÛÚÙ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµ´´´³³³³³²²²±±±±±±°°°°°¯¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤££¤¤¤¤££££££££££¢£££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡                                                 \9f      \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9d\9d\9d\9c\9b\9a\99\98\97\95\93\91\8f\8c\8a\87\84\82\7f|yurolifca^\ZXVTSQPONMMMMLLLLMNOPPQRTUWXZ[\^_acdfghjklnopqrstuvwxxyyzz{{|||}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\80\81\81\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\90\90\90\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\98\99\9b\9d\9f ¢¥§«®²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêìíîïïðñññññññññðïîíìëêéçæäãáàÞÜÚÙ×ÕÔÓÑÐÎÌËÊÉÈÇÆÅÃÃÂÁÁÀÀ¿¾¾½½½½¼¼¼»»»»»»»»ºº»»»»ºººººººººº¹¹¹º¹¹¹¹¸¸¸¸¸¸·····¶¶¶¶µµµµµµ´´³³³³³³²²²±±±±±±±°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¥¥¤¤££¢¡ \9e\9d\9b\99\97\94\92\8f\8c\89\86\83\80}zvspmifda^\ZXVUSRPOONNNNNNNOOPQRSTVWYZ\]^`acdfghjklmopqrsstuvwwxxyyzz{{||||}}~~~~~~\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  ¢£¥§¨ª¬¯²µ¸¼¿ÃÇÊÍÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòññðïïîíëêéçæåãâàÞÝÛÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´³³³³³²²²±±±±±±±°°°°°°¯¯¯¯®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§¦¦¦¥¥¤¤£¢ \9f\9d\9c\9a\98\95\93\90\8d\8b\88\85\81~{xtqnkheb`][YWVTSRQPPPOOOPPQRSTUVWXY[\^_`bcefhijlmnoprsttuvwwxyyzz{{||}}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\99\9b\9c\9e ¢¤¦©¬¯³·»¿ÃÆÊÎÑÔ×ÚÝßâäæçéêìíîïððñññññññññðïîíìëêéçæäâáàÞÜÛÙ×ÖÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÂÂÁÁÀÀ¿¾¾¾¾½½½¼¼¼¼¼¼¼¼»»»»»»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸······¶¶¶µµµµµ´´´³³³³³³²²±±±±±±°°°°°°°¯¯¯®®¯¯®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤£££££££££££¢£££££¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡      ¡                                                          \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9c\9b\99\98\97\95\93\91\8f\8c\8a\87\84\81~{xurnkhec`^[YWUTRQPONMMMLLLLLMNOPQRSTVWYZ\]_`bdeghiklmopqrstuvwxyyzz{{|}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f     \9f                           ¡¡     ¡¡¡¡    ¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡  ¡¡¡¡¡¡¡¢£¥§¨ª¬®°³¶º½ÀÄÇËÎÑÔ×ÚÝßáãåçéêëìíîïðñññòòòòòòññðïïîíìëéèæåäâáßÞÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÃÂÂÂÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¿¾¾¾¾¾¾¾¾½½½½¼¼¼¼¼¼»»»»ºººº¹¹¹¹¹¸¸¸···¶¶¶¶¶µµ´´´´´³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­¬¬¬««««««««ªª«««ªªªªªªªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§¨¨¨¨¨§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤£££££££££££££££££¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡      ¡                                                                  \9f\9f\9f     \9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9e\9e\9d\9d\9c\9b\9a\98\97\95\93\91\8f\8c\89\87\84\81~{xuqnkhec`^[YWUTRQPONMMMMLLLMNNOPQRSUVXZ[\^_acefhijlmopqstuvwxyzz{{||}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9c\9d\9f¡£¥§©­°´·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòññðïïîíìëéèæåãâàßÝÛÙØÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¿¿¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼¼»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸······¶¶¶µµµµµ´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªª©©©©¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤££¡ \9f\9d\9b\99\97\94\92\8f\8c\89\86\83\80}zvsplifda^\ZXVUSRQPPOOOOOOPPQRSTUVXY[\^_`bceghiklmnpqrstuvwxyyz{{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\81\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\94\93\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                                          ¡¡    ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáäåçéêëìîîïðñññòòòòòòòññððïîíìêéèæåäâáßÝÜÛÙØ×ÕÔÒÑÐÏÎÍÌÊÉÉÈÇÇÆÆÅÄÄÄÃÃÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸···¶¶¶¶¶µµ´´´´´´³³³³³³²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¨¨¨§§§§§§§§§§¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££¢¢£££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡  \9f\9f\9e\9d\9b\9a\98\96\94\92\90\8d\8b\88\85\82\7f|yurolifc`^\YWVTRQPONNNMMMMMNOPPQRSUVXY[]^_acdfhiklmoprstuvwxyz{||}}~\7f\7f\80\80\80\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\98\98\97\98\98\98\98\99\99\99\98\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f    \9f\9f           ¡¡     ¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££££¢¢¢£££££¢¢¢£££££¢¢¢££££££¢££££££££££££££££££££££££££££££££££££££££¤¦§©ª¬­¯²´·»¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïððññòòòòòòòññððïîíìëéèçæåãáàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÉÉÈÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÃÂÂÂÂÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµ´´´´´´³³³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨¨§§§§§§§§§§§§§§§§§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££¢£££££££££££££££££££££££¢¢£££££¢¢¢¢¢££¢¢¢¢¢££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¡¡¡ \9f\9e\9d\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|xurolifc`^[YWVTSQPOONNNMMMMNOOPQRSTVXY[\^_abdfhijlmoprstuvwxyz{||}}~\7f\7f\80\80\80\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\96\96\96\96\97\97\97\96\96\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f      \9f                   ¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢£££££££¢££££££££££££££££££££££££££££££££££££££££¤¥§¨ª¬­¯²´·»¾ÁÅÈÌÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòòññððïîíìêéèçæäãáßÞÝÜÚÙ×ÖÕÓÒÒÑÏÎÍÌËËÊÊÉÈÇÇÇÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµ´´´´´´³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨©¨¨¨¨¨¨¨¨¨¨§§§¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££¤¤£££££¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££¤¤£££££¤¤¤£££££££££££££££££££££££££¢¢¢¡¡ \9f\9e\9d\9c\9a\99\97\94\92\90\8d\8b\88\85\82\7f|xurolifc`^\YXVTSQPOONNNNNNNNOPQRSTUWXZ[]^`aceghjklnoqrsuuvwxyz{|}}~~\7f\80\80\81\81\81\81\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f                                  ¡¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢£¤¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòññððïïîìëêéèçåäâáßÞÝÜÚÙ×ÖÔÓÒÑÐÏÎÍÌËËÊÊÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÃÃÂÂÂÂÂÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµµµµµ´´´³³³³³³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯®®¯¯¯®®®­­­®­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬¬««««««««««««««««ªªªª««ªªªªªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤¤£¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤£££££¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££¢¢¢¡ \9f\9e\9d\9b\9a\98\96\93\91\8e\8c\89\86\83\80}zvspmjgda_][YWUTRQPOOONNNNNOOPQRSTUVXZ[]^_acdfhijlmnpqstuvvwxyz{||}}~\7f\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\98\98\98\97\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f                                          ¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«­®±´·º½ÁÄÈËÎÒÕ×ÚÝßáãåçéêëìíîïðñññòòòòòòòññððïïîìëêéèçåäâáßÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍÌËÊÊÉÈÈÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÂÂÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½¼¼¼¼¼»»»ºººº¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´´´´´³³²²²³²²²±±±±±±±±±°°±±±°°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££¤¤£££££¤¤¤££££££¤¤£££££¤¤¤£££££¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££££££££££¢¢¢¡¡ \9f\9e\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|xurokhec`^[YWVTRQPONNNMMMMMMNOPQRSTUWXZ[]^`aceghiklmoprstuvwxyzz{{|}}~\7f\7f\7f\7f\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\94\95\95\95\95\95\96\96\95\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f\9f      \9f                                           ¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëíîîïðñññòòòòòòòññððïîíìëêèçæåãâàßÝÜÛÚØ×ÕÔÓÒÑÐÏÍÌËÊÊÉÉÈÇÆÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÂÂÁÁÁÁÁÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©ªªªªª©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££¤£££££££££££££££££££££££££££££££££££££££££££¢£££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¡¡¡ \9f\9e\9d\9c\9a\98\96\94\92\8f\8d\8a\87\84\81~{wtqnkheb_][YWUSRPONNMMMMLLLMNOOPQRSUVXZ[\^_acdfhijkmnpqrstuvwxyz{{||}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\83\84\84\84\83\84\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f      \9f                                                          ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¤¥§©ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæåãâàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÉÉÈÈÇÆÅÅÅÅÄÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼»»»»»ºº¹¹¹¹¹¸¸¸····¶¶¶¶µµµµµµ´´³³³³³³³²²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­®­­­­¬¬¬­­¬¬¬«««¬¬«««««««««ªªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¨¨¨¨§§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤££££¤¤¤£££££¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{xtqnkheb`][YWUSRQONNMMMMLLLMNOPPQRSUVXZ[\^_acefhijlmoprstuvwxyzz{{|}}~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\93\92\92\92\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                                  ¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢£¥§¨ª«­°³¶¹½ÀÄÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëêèçæåãâàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÉÉÈÈÇÆÅÅÅÅÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼»»»»»ºº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´³³³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­®®­­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««ªªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££££££££££££££££££££££££££££££££££££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¡¡ \9f\9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{xurolifc`^\ZXVTSQPONNNNMMMMNOOPQRSTVWY[\]_`bdeghjklnoqrstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f                                                                  ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¢£¥§¨ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÍËÊÊÉÈÈÇÆÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÁÁÀÀÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾½½½½½¼¼¼¼»»»»»ººº¹¹¹¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬¬««««««««ªªªªªªªªª©©¨¨¨§¦¥¤¢¡\9f\9d\9a\98\95\93\90\8d\8a\87\84\80}zvsplifca^\ZXVUSRQPPPOOOOOPQRSTTUWXZ[]^_abdeghjklmnpqrstuvwxyyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\9a\9c\9d\9f¡¢¤¦©¬¯³·»¾ÂÆÊÍÑÔ×ÚÜßáãåçéêëíîïïððññññòñññðïïîíìëêèçåäãáßÞÜÚÙ×ÖÕÓÒÐÏÎÍÌËÊÉÇÇÆÅÅÄÄÃÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³³²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©ªªª©©©©©©©©©¨¨¨§§¦¦¥¤£¡ \9e\9c\9a\98\95\92\90\8d\8a\87\84\80}zvspmigda_\ZYWUTRQQPPPPPPPQRSTUVWXY[\^_`bcefhiklmnoprstuuvwxyzz{{||}~~~\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÔ×ÙÜßáãåçéêëíîïïððññññññññðïïîíìëéèæåäâáßÞÜÚÙ×ÖÕÓÒÐÏÎÍÌËÊÈÇÆÅÅÄÄÃÂÂÁÁÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼½½½¼¼¼»»»»»»»ººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤££££££¤¤£££££££££££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  \9f\9f\9e\9d\9c\9b\99\97\96\93\91\8f\8c\89\87\84\81~zwtqmjgdb_][XWUSRPONNMMMLLLLMMNOPQRSUVXY[\]_`bdfghjklnoqrstuvwxyyzz{||}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\92\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçéêëìîîïððññññòñññðïïîíìëéèæåäâáßÞÜÚÙ×ÖÕÓÑÐÏÍÌÌËÉÈÇÆÅÅÄÄÃÂÂÁÁÁÀÀÀ¿¿¾¾¾¾¾¾¾¾½½¾¾¾¾½½½½½½½½½½½½½½½½¼¼¼»»»»»»ººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««ª«««ªªªªªªªªªª©©©©©©©©©©©©©©©©¨¨§§¦¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\8a\86\83\80}yvsolifca^\ZXWUSRQQPPPPPPPQQRSTUVWYZ\]_`acdfhijkmnoprstuuvwxyzz{{{|}}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f      \9f       \9f\9f     \9f\9f\9f                                          ¡¡    ¡¡¡¡¡   ¡¡¡¡¡¡¡¢£¥¦¨ª«­°³¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëêèçæåãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÌËÊÉÉÈÈÇÆÅÅÅÄÄÄÃÃÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½¼¼¼»»»»»ººº¹¹¹¹¸¸¸¸···¶¶¶¶¶µµµµµ´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬¬«««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤£££££¤¤¤£££££¤¤¤£££££££££££££££££££££££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡  \9f\9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\85\82~{xurnkhec`^[YWUTRQPONNMMMLLMMNOPPQRSUVXY[\^_abdfgijkmnpqrstuvwxyzz{{||}~~~~\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f    \9f\9f\9f                                                                                 ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡ ¡¢£¥¦¨©«­°²¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòñððïïîíìêéèçåäãáßÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÅÄÄÄÃÃÃÂÂÂÂÂÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾½½½½½½½¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££¢¢£££££¢¢£££££££££££££££¢££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9a\99\97\95\93\91\8e\8c\89\86\84\81}zwtqmjgdb_]ZXWUSRPONNMMMLLLLMMNOPQRSTVWYZ\]_`bdfghjklnoqrsttvwxyyzz{||}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÓÖÙÜßáãåçéêëìîîïððññññòñññðïïîíìëéèæåäâáßÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÅÄÄÃÂÂÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½¾½½½½½½½½¼¼¼»»»»»»»ºººººº¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµµµ´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®®­®®®­­­¬¬­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨§§¦¦¥¤¢¡\9f\9e\9c\99\97\95\92\8f\8c\89\86\83\80|yvsolifda_\ZYWUTRRQQPPPPPPQRSTUVWXY[\^_`acdfgijklmopqrstuuvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f                                                                       ¡£¤¦¨©«­¯²µ¹¼ÀÃÇÊÍÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáßÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÈÇÆÆÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´´³³²²²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªª«««ªªªªªªªªªª©©©¨¨§¦¥¤¢ \9f\9c\9a\98\95\92\90\8d\8a\86\83\80|yvrolifc`^\ZXVTSRQPPOOOOOOPQRSTUVWXZ[]^`abdfgijklnoprstuuvwxyzz{{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÓÖÙÜßáãåçèêëìîîïððññññòñññðïïîíìëéèæåäâáßÝÜÚØ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½¼¼¼½½½½¼¼¼¼¼¼¼¼¼»»»»»»»ºº¹¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¤£¢ \9f\9d\9b\99\97\94\92\8f\8c\89\86\83\80|yvrolifca^\ZXWUTRQQPPPPPPPQQRSTUVWYZ\]_`abdfghjklmnpqrstuuvwxyyzz{{||}}~~~~\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«®²¶º¾ÂÅÉÍÐÓÖÙÜÞáãåçèêëìíîïððñññññññðïïîíìëêéçæäãâàßÝÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÇÆÅÅÄÃÃÂÁÁÀÀ¿¿¿¿¾¾¾½½¾½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»¼»»»»ººººººº¹¹¹¹¹¹¸¸¸······¶¶µµµµµµµ´´´´´´³³³²²²²²²±±±±±±±°°°¯¯¯°¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8c\89\85\82\7f|xuqnkheb_][YWUTRQPOOOONNNNOPQRSTUVWYZ\]_`acefhijkmnoqrstuuvwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññññññððïîîíìëéèæåãâàßÝÛÙØ×ÕÔÒÑÏÎÍÌËÊÈÇÆÅÄÄÃÃÂÁÁÀÀÀ¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»ºº¹¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´³³³³³³²²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¥¤£¡ \9e\9d\9b\98\96\93\91\8e\8b\88\85\82~{xtqnkheb_][YWVTRQPPOOOONNOOPQRSSTVWXZ[]^_abdfghjklmopqrstuvwxxyzzz{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÓÖÙÜßáãåçéêëíîîïððñññññññðïîîíìëêèçåäâáßÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀ¿¿¿¿¾¾½½½½½½½½¼½½½½½½¼¼¼¼½½½¼¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¹¸¸······¶¶¶¶µµµµµ´´´´´³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¤£¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\86\82\7f|xurnkheb`][YXVTSRQPPOOOOOOPPQRSTUVXY[\^_`bceghjklmnpqrstuuvwxyzz{{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨«®²¶º¾ÁÅÉÍÐÓÖÙÜßáãåçèêëìîîïððñññññññððïîíìëêéçåäãáàÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀ¿¿¿¿¿¾¾½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ºººººº¹¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµµµ´´´´³³³³³²²²±±±±±±±°°°¯°°¯¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¤¤¤¤¤¤¤¤¤££££££££££££££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡      ¡       ¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡                                          \9f\9f\9f\9e\9d\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\83\80|yvsplifda^\ZXVTSQPONMMMLLLLLLMNOPQQSTUWXZ[]^`acefhijkmnpqrstuvwxxyyz{{|}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡¢¥§ª®²µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïððññññññððïîííìêéèæäãâàßÝÛÚØ×ÕÔÓÑÐÎÍÌËÊÉÈÆÅÄÄÃÂÂÁÁÀ¿¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»»ººººººº¹¹¹¹¹¹¸¸······¶¶µµµµµ´´´³³³³³³²²±±±±±±±°°°°°°¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§¦¦¦¥¤¤£¡ \9e\9d\9b\98\96\94\91\8e\8b\88\85\82\7f{xuqnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWYZ\]^`acdfgijklnopqrstuvwxxyzzz{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡¢¤§ª®²µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïððñññññññððïîíìëêéçæäãáàÞÜÛÙ×ÖÕÓÒÐÎÍÌËÊÉÈÇÅÄÄÃÃÂÁÁÀ¿¿¿¿¿¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸······¶¶¶µµµµ´´´´³³³³³²²²±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤£¢¡ \9e\9c\9a\98\95\93\90\8e\8b\88\85\81~{wtqnjgdb_][YWUTRQPOOOONNNNOOPQRSTUVXY[\^_`bdeghijlmnpqrsstuvwxxyyzz{|||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\9a\9b\9d\9f ¢¤§ª®²µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïððñññññññðïïîíìëêéçåäãáàÞÜÚÙ×ÖÕÓÒÐÎÍÌËÊÉÈÇÅÄÄÃÃÂÁÁÀ¿¿¿¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»»ººººººº¹¹¸¸¸¸¸¸······¶¶¶µµµµ´´´´³³³³²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤£¢¡ \9e\9c\9b\98\96\94\91\8e\8b\88\85\82\7f|yurolifca^\ZXWUTRQQPPPPOOPPQRSSTUVXY[\]_`acdfgijklmnpqrsstuvwxxyyzz{{||||}}}~~~~~~\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\9a\9b\9d\9f ¢¤§ª®±µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïððñññññññðïîîíìëéèæåãâáßÞÜÚØ×ÕÔÓÑÐÎÍÌËÊÉÈÆÅÄÄÃÂÂÁÀÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»»»ºººººººº¹¹¹º¹¹¹¹¸¸¸¸¸¸¸······¶¶µµµµµµ´´³³³³³³²²±±±±±±±°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8e\8b\88\85\81~{wtqmjgdb_][YWUTRQPOOONNNNNOOPQRSTUVXY[\^_`bdeghijkmnopqrstuvwxxyyzz{|||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\9a\9b\9d\9f ¢¤§ª®±µ¹½ÁÅÈÌÏÓÖÙÜÞáãåçèêëìíîïððñññññññððïîíìëêéçæäãáàÞÜÛÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÅÄÄÃÃÂÁÁÀ¿¿¿¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»»ººººººº¹¹¸¸¸¸¸¸¸····¶¶¶¶µµµ´´´´´³³³³³²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨©¨¨¨¨§§¨¨§§§§¦¦§§§§¦¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8b\88\84\81~{wtqmjgdb_][YWUTRQPOOONNNNNOOPQRSTUVXY[\]_`bcefhijklnopqrstuvwwxyyyz{{||||}}}~~~~~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡¢¥§«®²¶¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïðððñññññððïîíìëêéèæåãâáßÞÜÚØ×ÕÔÓÑÐÎÍÌËÊÉÈÆÅÄÄÃÂÂÁÁÀ¿¿¿¿¾¾¾½½½½½½½½¼¼¼¼½½¼¼¼¼¼¼¼¼¼¼»»»»»»»»»ºººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´³³³²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§§¦¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8e\8b\88\85\81~{xtqnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWYZ\]_`acdfgijklnopqrstuvwwxyyzz{{||}}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\9a\9b\9d\9f ¢¤§ª®²¶¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìîîïððñññññññðïîîíìëêèçåäâáßÞÜÚØ×ÖÔÓÑÐÎÍÌËÊÈÇÆÅÄÃÃÂÁÁÀ¿¿¾¾¾¾½½½¼¼¼¼¼¼¼¼»»»¼¼»»»»»»»»»»ººººººººº¹¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¥¥¤££¢ \9f\9d\9c\9a\97\95\93\90\8d\8a\87\84\81~zwtpmjgdb_][YWUSRQPOOONNNNNOOPQRSTUVXY[\^_`bcefhijklnopqrstuvvwxxyyzz{{||||}}}~~~~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\9a\9b\9d\9f ¢¤§ª®²µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìîîïððñññññññðïïîíìëêèçåäâáàÞÜÚÙ×ÖÔÓÑÐÎÍÌËÉÈÇÆÅÄÃÃÂÂÁÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»»»¼¼¼»»»»»»»»»»ºº»»»ºººº¹¹¹¹¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬««««««««ªªªªªªª©©©©©ª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦§§¦¦¦¥¥¥¤££¡ \9f\9d\9c\9a\97\95\93\90\8d\8a\87\84\81~{xtqnkheb`][YXVTSRQPOOOOONOOPQRSSTUWXZ[\^_`bceghijkmnopqrstuvvwxxyyzz{{|||||}}}}}}~~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\97\98\99\9b\9d\9e ¢¤§ª®²µ¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïððññññññððïîííìëéèæåãâàßÝÛÚØÖÕÔÒÑÏÍÌËÊÉÈÇÆÄÃÃÂÂÁÀÀ¿¾¾¾¾½½½¼¼¼¼¼¼¼¼»»»»¼¼¼»»»»»»»»»»ºº»»»ºººººººº¹¹¹¸¸¸¸¸¸·····¶¶¶¶µµµµµ´´´³³³³³²²²±±±°°°°°¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§¦¦¦¥¥¤¤£¢ \9f\9d\9c\9a\97\95\93\90\8d\8a\87\84\81~zwtpmjgda_]ZYWUTRQPOOOONNNNOPQRRSTUWXZ[]^_`bdeghijkmnopqrstuvwwxxyyzz{{||||}}}~~~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¥¦¨ª¬®±µ¸¼¿ÃÇÊÍÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòñððïïîíëêéçæåãâàÞÜÛÚØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÂÂÂÂÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸··¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¥¤£¡ \9f\9d\9b\99\96\94\91\8e\8c\89\86\82\7f|yurolifca^\ZXWUSRQPPPOOOOOPPQRSTUVWYZ\]^`acdfgijklmopqrsttuvwxyyyzz{{|||}}}~~~~~~~\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡£¥¨«¯³·»¿ÃÆÊÎÑÔ×ÚÝßáäæçéêìíîïïðññññññññðïîîíìëéèæåãâáßÝÜÚØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¿¾¾¾¾½½½½½½½¼¼¼¼¼¼¼¼¼»»»»»»»»»»»º»»»ºººº¹¹¹¹¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´³³³²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««ªªªª©©ªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££££££££¢££££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡                  \9f      \9f\9f       \9f               \9f      \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\99\97\95\93\91\8f\8d\8a\87\85\82\7f|yurolifca^\ZXVTSQPONMMLLLLLLLMNOOPQRTUWXZ[\^`acefgijkmnoqrrstuvwxxyyz{{||}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\98\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢£¥§¨ª­¯²¶¹½ÀÄÈËÎÒÕØÚÝßâäæçéêìíîïïðñññòòòòòòñððïîîíìêéçæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÈÇÇÆÅÅÄÃÃÂÂÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®­­­®­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªªª©ªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤£££££££££££££££££¢¢££££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡     ¡¡¡      ¡                                                  \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9e\9e\9d\9c\9b\9a\99\97\96\94\91\8f\8d\8a\88\85\82\7f|yvrolifca^\ZXVTRQPONMMMLLLLLLMNOPQRSTVWYZ\]^`bdeghijlmopqrstuvwxxyzz{{|}}}}~~\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\8f\8f\90\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¦©­±´¸¼ÀÄÈÌÏÒÖÙÛÞàãåçèêëìíîïððññññòñññðïïîíìëêèçåäâáßÞÜÚØ×ÕÔÓÑÏÎÍËÊÉÈÇÆÅÄÃÃÂÁÁÀ¿¿¾¾¾¾½½½¼¼¼¼¼¼¼»»»»¼¼¼»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸¸¸····¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­¬¬¬¬¬¬¬¬«««¬««««ªªªªªªªª©©ªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§§¨¨§§§§§§§§§§¦¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~zwtpmjgda_\ZXWUSRQPOONNNNNNOOPQRSTUVXY[\]_`bcefhijklnopqrstuvvwxxyyzz{{||||}}}~~~~~~\7f\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\85\85\86\86\86\86\87\87\87\87\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f¡¢¤¥§©«®°´·»¾ÂÆÉÍÐÓÖÙÜÞàãåæèêëìíîïððññòòòòòòòñððïîíìëêèçåäãáàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÅÅÄÃÃÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾½½¾¾¾¾½½½½½½½½½¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸····¶¶¶µµµµµ´´´´³³³³²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬«««ªªªªªªªª©©©ªª©©©¨¨¨©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¥¥¤£¢¡ \9e\9c\9a\98\95\93\90\8e\8b\88\85\81~{wtqnjgeb_][YWUTRQPOOOONNNOOPQRSTUVWYZ\]_`acdfgijklmopqrstuuvwxyyzz{{|||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\97\98\99\9b\9c\9e ¢¤§ª®²µ¹½ÁÅÉÍÐÓÖÙÜßáãåçéêëíîïïðñññññññññðïîîíìëéèæåãâàßÝÛÙØÖÕÓÒÑÏÍÌËÊÉÈÇÆÅÄÃÂÂÁÀÀ¿¾¾¾¾½½½¼¼¼¼¼¼¼»»»»»»»»»»ºº»»»ººººººººº¹¹¹¹¹¹¸¸¸¸······¶¶µµµµµµ´´³³³³³³²²²²²²±±±°°°°°°¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤£¤¤¤¤¤££££££££££¢¢£££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡      ¡¡                                  \9f\9f    \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9b\99\98\97\95\93\91\8f\8d\8a\87\85\82\7f|yurolifca^\ZXVTSQPONMMLLLLLLLMNOPQRSTVWYZ\]^`bcefhijlmnpqrsstvvwxyyzz{{|||}}}}~~~~~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\98\99\9b\9d\9f ¢¥¨«®²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêìíîïïðññññññññððïîíìëêèçåãâàßÝÜÚØÖÕÓÒÑÏÍÌËÉÈÈÇÆÄÃÂÂÁÁÀÀ¿¾¾½½½½½¼¼»»»»»»»»»»»»»»»»º»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸······¶¶¶¶µµµµ´´´³³³³²²²²²±±±±°°°°°°°¯¯¯¯¯¯®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¥¤¤£¢¡ \9f\9d\9b\99\97\95\92\90\8d\8a\87\84\81~zwtpmjgdb_][YWUSRQPOOONNNNOOPQRSTUVXY[]^_abdeghiklmnoqrsstuvwxxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\98\99\9b\9d\9f¡£¥¨«¯²¶º¾ÂÆÉÍÑÔ×ÚÜßáãåçéêìíîïïðñññññññññðïîíìëêéçåäâáßÞÜÚØ×ÕÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾½½½¼¼¼¼¼¼¼»»»»»»»»»ºººººººººººººº¹¹¹¹¸¸¸¸¸¸······¶¶µµµµµ´´´³³³³³²²²±±±±±±±°°°¯¯¯¯¯¯®®®®®®®­­­­­­¬¬¬¬«««««««ªªªªªªªªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¤¤££¢¡ \9e\9d\9b\99\97\94\92\8f\8c\89\87\83\80}zvspmjgda_\ZXVUSRQPOONNNNNNOPQRSTUVXY[\^_`bcefhijklnopqrstuvvwxyyyzz{||||}}}~~~~~~\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\90\90\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9b\9c\9e ¢¤¦©¬¯³·»¿ÃÆÊÎÑÔ×ÚÝßáäæçéêìíîïððñññññññññðïîíìëêéçæäãáàÞÝÛÙ×ÖÔÓÒÐÏÍÌËÉÉÈÆÅÄÃÃÂÁÁÀ¿¿¾¾¾½½½¼¼¼¼¼¼¼¼»»»»»»»»»»ºº»»»»ºººººººº¹¹¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´´³³³³³²²²²±±±±±°°°°°°¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¤¤££¢¡ \9e\9d\9b\99\97\94\92\8f\8d\8a\87\84\81~zwtpmjgdb_][YWUTRQPPPOOOOOPQRSTUVWXY[\^_`bcefhijklnopqrstuvwwxyzzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9e ¡£¥¨«¯³·º¾ÂÆÊÍÑÔ×ÚÝßáäåçéêìíîïððñññññññññðïîíìëêéçåäâáßÞÜÚØ×ÕÔÓÑÐÎÌËÊÉÈÇÆÅÄÃÂÂÁÀÀ¿¾¾¾½½½½¼¼¼¼¼¼¼»»»»»»»»»»ºººººººººººººº¹¹¹¹¹¹¸¸¸¸·······¶¶µµµµµµ´´³³³³³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§¨§§§§¦¦§§§¦¦¦¦¦¦¦¦¦¦¥¥¤¤££¢¡ \9e\9d\9b\99\97\94\92\8f\8c\89\86\83\80}yvsplifca^\ZXVTSQPPOONNNNNOOPQRSTVWXZ\]^`acdfgijklmopqrstuvvwxyyzz{{|}}}}~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\99\9a\9b\9d\9f¡£¤§©¬°´·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððññññòòòññðïîííìêéçæäãáàÞÜÛÙ×ÖÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»»»º»»ºººº¹¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬««««««««ªª«««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¥¥¥¤£¢¡ \9f\9d\9b\99\97\94\92\8f\8d\8a\87\84\80}zwspmjgda_\ZXWUSRQPPOOOOOOPPQRSTUVXY[\^_abceghiklmnoqrsttuvwxyyzz{{||}}}~~~\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\94\93\93\94\94\94\94\95\95\94\94\95\95\95\95\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\9a\9c\9d\9f¡£¤§©¬°´·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññññññññðïîíìëêèçåäâáßÞÜÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÃÂÂÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½½¼¼¼½½½¼¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´³³³³³³²²±±±±±±±°°°°°°°¯¯¯®®¯¯®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤¤£¢ \9f\9d\9b\99\97\95\92\8f\8d\8a\87\84\80}zvspmifda^\ZXVUSRQPPOOOOOOPQRSTUVWXZ[]^`abdfgijklnopqstuuvwxyz{{{||}~~\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f                  ¡¡     ¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¤¦¨©«¬®±´·º½ÁÄÈËÎÒÕ×ÚÝßáãåçéêëìíîïðñññòòòòòòòòñððïîíìëéèçåäãáßÞÜÛÙØÖÕÓÒÑÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾½½½½½¼¼¼¼¼»»»ºººº¹¹¹¹¸¸¸¸····¶¶¶µµµµµ´´´³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨©©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¥¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤££££¤¤£££££££££££££££££££££££££££££££££¢¢¢¢££££¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡¡  ¡¡¡¡¡   ¡¡¡¡¡¡  ¡¡¡¡¡¡  ¡¡¡¡¡¡   ¡¡¡¡   \9f\9f\9e\9e\9d\9c\9b\99\98\96\94\92\8f\8d\8b\88\85\82\7f|yvspmjgda_]ZXWUSRQPOONNNNNNNOPQRSTUVXZ[]^_abdfhijlmnpqstuuvwxzz{||}}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\93\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f                  ¡¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢££££££¤¥§¨ª¬­¯±´·»¾ÁÅÈÌÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòññððïîíìëêèçæäãáàÞÜÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÉÈÇÇÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¾¾¾¾¾½½½½¼¼¼¼»»»»ºººº¹¹¹¹¸¸¸¸··¶¶¶¶¶µµµ´´´´´´³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬­¬¬¬¬««««««««««««««ªªªªªªªªª©©¨¨§§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\81~zwspmjgda_\ZYWUTRQQPPPPPPPPQRSTUVWYZ\^_`bcefhiklmnpqrtuvvwxyz{|||}}~\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\94\94\93\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9c\9d\9f¡¢¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÚÝàâäæçéêìíîïððñññòòòòòññðïïîíìêéçæåãâàÞÝÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÂÂÂÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½¼½½¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´´´´´³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤££££££££££££££££££¢££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡  \9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\85\82\7f{xurolifc`^\ZXVTSQPOONNNNMMNNOPQRSTUVXZ[]^`aceghjklnoqrstuvwxyz{|}}~~\7f\80\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f                                                         ¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢££££¢¢¢£££££££¤¥§¨ª¬­¯²´·»¾ÁÅÈÌÏÒÕØÚÝßâäåçéêëíîîïðñññòòòòòòòòññððïîíëêéèçåäâáßÞÜÛÚÙ×ÕÔÓÒÑÐÏÎÌËËÊÊÉÈÈÇÆÆÆÆÅÅÅÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµ´´´´´´´³³³³³³³²²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨©©©¨¨¨¨¨¨¨¨¨¨§¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤£££££¤¤¤££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢¡¡ \9f\9e\9c\9b\99\97\95\93\91\8e\8b\89\86\83\80}yvspmjgda_\ZXWUSRQPOOONNNNNNOPQRSTUVXY[]^_abdfhijlmopqstuvwxyz{{||}}~\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\91\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f ¢¤¦¨ª­±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïïðññññòòòññððïîîíëêéçæåãâàßÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÉÈÇÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°°°¯¯°°°¯¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©¨¨§¦¥¤£¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\81}zvsplifca^\ZXWUSRQPPPPPOOPPQRSTUVWXZ\]_`acefhiklmnpqrtuuvwxyz{{||}}~\7f\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9d\9e ¢£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿ÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸······¶¶µµµµµµµ´´³³³³³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««««««««««ªª©©¨¨§¦¥£¢ \9e\9b\99\96\94\91\8e\8b\88\84\81~zwspmjgda_][YWUTRQQPPPPPPPPQRSTUVWYZ\]_`acdfhiklmnpqrstuvwxyzz{|||}~~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f¡¢¤¦¨ª­±´¸¼ÀÃÇËÎÑÔ×ÚÝßâäæçéêìíîïïðññññòòòññððïîîíëêéçæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½¼¼¼¼¼¼¼»»»»ººº¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª««««ªªª©©¨¨§¦¥£¡ \9e\9b\99\96\94\91\8e\8b\88\84\81~zwtpmjgda_][YWVTSRQQQPPPPPQRSTUVWXY[]^`abdegijlmnoprstuvwxyzz{||}}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\84\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9c\9d\9f ¢¤¦¨ª­±´¸¼ÀÄÇËÎÒÕØÚÝàâäæçéêìíîïððñññòòòòòññðïïîíìëéèæåäâáßÝÜÛÙØ×ÕÓÒÑÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿ÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªª©©¨§¦¥¤¢ \9e\9c\99\97\94\91\8e\8b\88\85\81~zwtpmjgda_][YWUTRQQPPPPPPPPQRSTUVWYZ\]_`acefhiklmnpqrtuuvwxyz{{||}}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9d\9e ¢£¥§ª­°´·»¿ÃÇÊÎÑÔ×ÚÝßáäåçéêìíîïïðñññòòòòòññðïïîíìëéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÅÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµµµ´´´´³³³³³³³²²²±²²±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªª««««ªªª©©¨§§¦¤£¡\9f\9d\9b\99\96\94\91\8e\8b\88\84\81~{wtqnjgeb_][ZXVUSRRQQQPPPPQRSSTUVWYZ\]_`acdfgijklmopqsttuvwxyzz{{||}~~~\7f\7f\7f\7f\80\80\80\80\81\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f                                                                 ¡¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢£¤¦¨©«­¯±´·º¾ÁÄÈËÎÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòññððïïîìëêéèæåäâàßÞÜÛÚØ×ÕÔÓÒÑÐÏÍÌËËÊÉÉÈÇÆÆÆÅÅÅÅÄÄÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾½½½½½¼¼¼»»»»»»ºººº¹¹¹¸¸¸·····¶¶¶¶µµµµµ´´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­®®­­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªª©©©¨§¦¥£¢ \9e\9b\99\96\94\91\8e\8b\88\84\81~zwspmjgda_\ZYWUTRQQPPPPPPPQQRSTUVWYZ\^_`bcefhiklmnoqrstuvwxyyz{{||}}~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9b\9c\9e ¢£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððñññòòòòòññðïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÑÐÏÎÍÌËÊÈÇÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»»ºººº¹¹¹¹¸¸¸¸····¶¶¶¶¶µµµ´´´´´´´³³³³³³²²²±±±±±±±°°°°°°°¯¯¯®®¯¯¯®®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««ªªªª««ªªªªªªªªªª©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨¨§§§¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡ \9f\9e\9d\9c\9a\99\97\94\92\90\8d\8a\88\85\82\7f{xurnkhec`^[YWUTRQPONNNMMMMMNOPPQRSUVXY[\^_`bdfgijkmnoqrstuvwxyz{{||}~~\7f\7f\7f\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\88\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f    \9f\9f\9f              \9f      \9f\9f               \9f                   ¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¢£¤¦§©«¬®±³¶º½ÁÄÈËÎÑÔ×ÚÝßáãåçèêëìíîïðñññòòòòòòòññððïîíìëêéçæåãâàßÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¾¾½¼»º¹·µ³±®¬©¦£ \9c\99\95\92\8e\8a\87\83\80|yuroligeca`^]\[[[[[[[[\]^_`abdeghiklmopqstuvwxz{|}~~\7f\80\81\81\82\83\83\83\84\84\85\85\86\86\86\86\86\87\87\87\87\88\88\87\87\88\88\88\88\88\88\88\88\88\89\89\89\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¹¼ÀÄÇËÎÒÕØÛÝàâäæçéêìíîïððñññòòòòññððïïîíìêéçæåäâáßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÈÇÇÆÅÅÄÃÃÂÂÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½¾¾¾½½½¼¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±±±±±°°°¯¯¯°¯¯¯¯®®®®®®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤£££££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢££££££¢£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢£££££££££££££££££££££££¢¢££££¢¢¢¢¢¢£¢¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢£££££££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢£££££££¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¡¡ \9f\9e\9d\9c\9a\99\97\95\93\90\8e\8b\88\85\83\7f|yvspmjgda_\ZXVUSRPPONNNNMMMNOPQQRSTVWY[\]_`bdegijklnoqrstuvwxyz{||}}~\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f      \9f                                                                   ¡¡     ¡¡¡¡   ¡¡¡¡¡    ¡¡¡                             ¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¢¢¢¢££££££¤¤¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤££¢¢¡ \9f\9f\9e\9d\9d\9c\9b\9a\99\98\97\97\96\95\94\93\92\92\91\91\90\8f\8f\8e\8e\8e\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8f\8f\8f\8f\8f\90\90\91\91\91\91\91\92\92\92\93\93\93\93\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f                                                                                           ¡      ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢£¤¥¦¨©ª¬¯±´¸»¾ÂÅÉÌÏÒÕØÛÝßâäåçéêëìíîïðññòòòóóóóóóóóóóóóòòñññððïîíììëêéèçæåääãâàßÞÝÜÜÛÚÙØ×ÖÖÕÕÔÓÒÒÑÑÑÐÐÏÎÎÎÎÍÍÍÌÌÌËËËËËÊÊÊÉÉÉÉÉÈÈÇÇÇÇÇÇÆÆÅÅÅÅÅÄÄÃÃÃÃÃÂÂÂÁÁÁÀÀÀÀ¿¿¾¾¾¾¾½½¼¼¼»»»»ººº¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´´´´´³³³²²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬««ªª©¨¦¥£¢\9f\9d\9b\98\96\93\90\8d\8a\86\83\80|yvrolifca^\ZYWUTSRRQQQQQQRSTUVWXY[\^_abdeghjlmnoqrsuvwxyyz{|}~~~\7f\80\80\81\81\81\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\9a\9b\9c\9e\9f¡£¤§©¬°³·»¿ÃÆÊÍÑÔ×ÚÜßáãåçéêëíîïïðññññòòòññðïïîíìëêèçåäâáßÞÜÚÙ×ÖÕÓÒÐÏÎÍÌËÉÈÇÆÆÅÅÄÃÃÂÁÁÁÁÀÀ¿¿¿¾¾¾¾¾¾½½½½¾¾½½½½½½½½½½¼¼¼¼¼¼¼¼¼»»»»»»»ººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµ´´´´´´³³³³²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©©©©©©¨¨¨§§¦¦¥¤¢¡\9f\9d\9b\99\97\94\92\8f\8c\89\86\82\7f|xurnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWYZ\]_`acefhijkmnoqrsttuvwxyzzz{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¥¨«¯²¶º¾ÂÆÉÍÐÔ×ÙÜßáãåçéêëíîïïðñññòòòòññðïïîíìëêèçåäâáßÞÜÚÙ×ÖÕÓÑÐÎÍÌËÊÉÈÇÆÅÅÄÄÃÂÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾¾¾½½¾¾¾¾½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»ºººººº¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°¯¯¯®®®¯®®®®­­­®­­­­¬¬­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨§§¦¦¥¤£¡ \9e\9c\9a\97\95\92\8f\8c\89\86\83\80}yvsolifca^\ZXVUSRQPPOOOOOOPPQRSTUVWYZ\]_`acefhijklnoprsstuvwxyyzz{{||}}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\9a\9b\9d\9f ¢¤¦©¬¯³¶º¾ÂÆÉÍÐÔ×ÙÜßáãåçéêëìîîïððñññññññððïîíìëêéçæäãáàÞÜÛÙØÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÂÁÀÀÀ¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±±±±±°°°¯¯¯°¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª«««ªªªªªªªªª©©©©©©©©©©¨©©©©©¨¨¨§§¦¦¥¤¢¡\9f\9e\9c\99\97\94\92\8f\8c\89\86\83\7f|yurokhec`^[YXVTSQPPOOOOOOOPPQRSTUVXY[\^_`bceghiklmnoqrstuuvwxyzz{{||}~~~~~\7f\7f\80\80\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÓ×ÙÜßáãåçéêëíîïïðññññòòòññðïïîíìëéèæåäâáßÝÜÚÙ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼¼¼¼¼¼»»»»»»»»ººº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµ´´´´³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨©©©¨¨¨§§¦¦¥¤¢¡\9f\9e\9b\99\97\94\92\8f\8c\89\86\82\7f|xurnkheb`][YWVTSQPPOOOOOOOOPQRSTUVWYZ\]^`acdfgijklnopqrstuvwwxyyzz{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÓÖÙÜßáãåçéêëìîîïððññññññññðïîîíìëéèæåäâáßÝÜÚÙ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀÀ¿¿¾¾¾¾¾¾¾¾½½½½¾¾¾½½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»»»ºººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´³³³²²²²²²²±±±±±±±°°°¯°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª««««ªªªªªªªª©©©©©©©©©©¨¨©©©©¨¨¨§§¦¥¥£¢¡\9f\9d\9b\99\97\94\91\8f\8c\89\86\82\7f|yvrolifca^\ZYWUTSRQQPPPPPPQRSSTUVXYZ\^_`acdfgijklnopqsttuvwxyyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêëíîïïðññññññññððïîííìêéçæäãâàßÝÛÚØ×ÕÔÓÑÏÎÍÌËÊÉÇÆÅÅÄÃÃÂÁÁÀÀ¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½½½¼¼½½½½¼¼¼¼¼¼¼¼»»»»»ºººº¹¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³²²²²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨§§¦¦¥¤¢¡\9f\9e\9c\99\97\94\92\8f\8c\89\86\83\7f|xurnkheb`][YWVTSQPPOOOOOOOOPQRSTUVXY[\^_`bceghjklmnpqrstuuvwxyzzz{{|}}~~~~~\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\9a\9b\9d\9e ¢£¦¨«¯²¶º¾ÂÆÉÍÐÔ×ÙÜßáãåçéêëíîïïðññññòòñññðïïîíìëéèæåäâáßÞÜÚÙ×ÖÔÓÑÐÎÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾¾½½½½½½½½½½½½½½½½½¼¼½½½¼¼¼¼»»»»»»ººººº¹¹¹¸¸¸¸····¶¶¶¶µµµµ´´´´´´³³³²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§§¦¦¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8c\89\85\82\7f|xurnkheb`][YXVTSQPPOOOONNOOPQRSTTVWYZ\]^`acdfgijklmopqrstuvwwxyyzz{||}}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«¯²¶º¾ÂÅÉÍÐÓÖÙÜßáãåçéêëìíîïðððñññññððïîîíìëêèçåäâáàÞÜÚÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀ¿¿¿¿¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»ºººººº¹¹¹¹¹¹¹¸¸·······¶¶¶¶µµµµ´´´´´³³³²²²²²²²±±°°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9b\99\97\95\93\91\8f\8c\89\87\84\81~zwtqnjgeb_][YWUSRPONNMMMMLLLMNOOPQRSUVXY[\^_acdfhijkmnpqrstuvwxyz{{||}~~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f      \9f        ¡¢¤¥§©ª¬¯²µ¸¼¿ÃÆÊÍÐÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòòññððïîíìêéèæåäâáßÝÜÛÙØÖÕÓÒÑÐÏÎÌËÊÉÈÈÇÇÆÅÄÄÃÃÃÂÂÂÁÁÁÁÁÁÀÀÀ¿ÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¾¾¾¿¿¾¾¾½½½½½½½¼¼¼¼¼»»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶µµµµ´´´´´´³³²²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦§§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤£££££££££££££££££££££££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡                  \9f\9f\9f\9e\9e\9d\9c\9b\99\98\96\94\92\90\8e\8b\89\86\83\80}zwtpmjgdb_][YWUSRPONNMMMMLLMMNOOPQRSUVXY[\^_abdfghjkmnoqrsttvwxxyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\95\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f      \9f       \9f               \9f        ¡¢¤¥§©ª¬¯²µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåçèêëìíîïððññòòòòòòòñððïïîíëêéèæåäâáßÝÜÛÙØ×ÕÓÒÑÐÏÎÍÌÊÉÉÈÇÇÆÅÄÄÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾½½½½½½¼¼»»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´³³³³³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££££££££££££££¢¢£££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡   \9f\9f\9e\9e\9d\9b\9a\99\97\95\93\91\8e\8c\89\86\84\81~{wtqnkheb`][YWUTRQPONNNMMMMMNNOPQRSTUWXZ[]^_acdfgijkmnoqrsttvwxxyzz{{|}}~~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\92\92\91\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f ¡¢£¥§¨ª¬®±´¸»¿ÂÆÉÍÐÓÖÙÜÞàãåæèêëìíîïððññòòòòòòññððïîîíëêéçæåãâàÞÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÃÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµ´´´´³³³²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªª©©ªªª©©©©©©©©©¨¨¨¨¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤££££££££££££££££¢¢¢£££££¢¢¢¢££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡      ¡               ¡                           \9f      \9f\9f\9f     \9f\9f                      \9f\9f\9f\9f\9e\9e\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifda^\ZXVTSQPONMMLLLLLLLMNOPPQRTUWXZ[]^`acefgijkmnoqrrstvwwxyyzz{||}}}}~~~\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¢£¥¦¨©«®±´·»¿ÂÆÉÍÐÓÖÙÜÞàãåæèéëìíîïððñññòòòòòññðïïîíìëéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÂÁÁÁÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ºººººº¹¹¹¸¸¸¸···¶¶¶¶¶µµ´´´´´´³³³²²²²²²±±±±±±±°°°°°°°¯¯¯¯®¯¯®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤£££££££££££¢££££££¢¢¢££££¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡                                      ¡¡¡     ¡¡¡                  \9f\9f     \9f\9f\9f     \9f\9f\9f\9e\9e\9d\9d\9c\9a\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifda^\ZXVTSQPONMMMLLLLLLMNOPPQRTUWXZ[]^`acefhijkmnpqrstuvwwxyyzz{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\98\99\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡¢£¥¦¨ª¬®±´·»¿ÂÆÉÍÐÓÖÙÜÞàâäæèéëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÉÈÈÇÆÆÅÄÄÃÃÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½¾½½½½¼¼¼¼¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸··¶¶¶¶¶µµ´´´´´´³³³²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§¦¦¦¦¦§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡                                                         \9f\9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9e\9e\9e\9d\9c\9b\9a\99\97\96\94\91\8f\8d\8a\88\85\82\7f|yurolifca^\ZXVTRQPONMMLLLLLLLMNOPQQSTVWYZ[]^`bcefhijlmnpqrstuvwxxyyz{{||}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¤¦§©«®±´·»¿ÂÆÉÍÐÓÖÙÜÞàãåæèêëìíîïððñññòòòòòññððïîíìëêèçæäãáàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³²²²²²²±±±°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©ª©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡                                                          \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9e\9e\9e\9d\9c\9b\9a\99\97\96\94\92\90\8d\8b\88\85\82\80|yvspmjgda_\ZXVTSQPONMMMMLLLLMNNOPQRSUVXY[\]_abdfghiklnopqrstuvwxyyzz{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\92\92\91\92\92\92\92\92\93\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¤¦¨©¬®±µ¸¼¿ÃÆÊÍÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòññððïîíìëêèçæäãáàÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±±±°°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­¬¬¬««¬¬¬«««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤££¤¤¤¤££££££££££££££££££¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡                                                                                 \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9d\9d\9c\9b\9a\99\97\96\94\92\90\8d\8b\88\85\83\80}zvspmjgdb_][XWUSRPONNMMMLLLLLMNOPPQRTUWXZ[\^_acdfghjkmnopqrstuvwxxyyz{{||}}}}~~\7f\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\80\81\81\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f ¡£¤¦¨©¬¯²µ¹¼ÀÄÇËÎÑÔ×ÚÝßáãåçéêëíîïïðñññòòòòòòññðïïîíìëéèæåäâáßÝÜÚÙ×ÖÔÓÑÐÏÎÍÌÊÉÈÇÆÆÅÅÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½¾¾¾½½½½¼½½½¼¼¼»»»»»»ººº¹¹¹¹¹¸¸······¶¶¶µµµµµµ´´´´³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬«««ªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§¦¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\90\8e\8b\88\85\81~{wtqmjgdb_][YWUSRQPOOONNNNNOOPQRSTUVXY[\]_`bcefhijkmnopqrstuvwxxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\82\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\97\98\9a\9b\9d\9f¡£¥¨¬°³·»¿ÃÇËÎÒÕØÛÝàâäæèéëìíîïððññññññññðïïîíìëêèçåäâáßÞÜÚØ×ÖÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¾¾¾¾¾½½¼¼¼¼¼¼¼»»»»»»»»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸······¶¶¶¶¶µµµ´´´´´´³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­¬¬¬¬¬¬¬«««««««««ªªªªªªª©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤£££££¤££££££££££££££££££¢¢¢£££££¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡    ¡¡¡      ¡                                          \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\99\97\95\94\92\8f\8d\8a\88\85\82\80}zvspmjgdb_][YWUSRQOONNMMMMMMMNOPQRSTUWXZ[]^_acdfgijkmnoqrsttuwxxyzz{{|}}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\96\97\97\99\9a\9c\9e\9f¡£¦©­°´¸¼ÀÄÈÌÏÒÖÙÛÞàãåçèêëìíîïððññññññññðïîííìêéèæäãâàßÝÛÙØÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»»»»»»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸······¶¶¶¶¶µµµ´´´´´³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®­­­­¬¬¬¬¬¬¬¬««¬¬¬«««ªªªªªªªª©©ªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¤¤£¢¡ \9f\9d\9b\99\97\95\92\90\8d\8a\87\84\81}zwspmjgda^\ZXVUSRPPOONNNNNNNOPQRSTUVXY[\^_`bcefhijklnopqrsttuvwxxyyzz{{||||}}}~~~~~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9f\9f ¢£¥§¨«­°³·º¾ÂÅÉÌÐÓÖÙÛÞàâäæèéëìíîïððññòòòòòòòññðïïîíìëéèçåäâáßÝÜÚÙ×ÖÕÓÑÐÏÎÍÌËÉÈÇÇÆÅÅÄÃÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½¾¾¾½½½½½½½½¼¼¼»»»»»»ºººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµ´´´³³³³³²²²²±±±±±°°°°°°¯¯¯¯®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤££¤¤¤¤££££££££££¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡     ¡                           \9f      \9f\9f\9f     \9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9c\9b\99\98\97\95\93\91\8e\8c\8a\87\84\81~{xurnkhec`^[YWUTRQPNNMMLLLLLLLMNOPQRSTVWYZ\]_`bcefhijlmopqrstuvwxxyyz{{||}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¤¦¨ª¬®±µ¸¼¿ÃÆÊÍÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòññðïïîíìêéèæåäâáßÝÛÚÙ×ÖÕÓÑÐÏÎÍÌÊÉÈÇÆÆÅÅÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸···¶¶¶¶µµµµ´´´³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­­¬¬¬¬¬¬¬¬««¬¬¬«««ªªª«ªªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\98\96\93\91\8e\8b\88\85\82~{xtqnkheb`][YWVTSQPPOOOONOOPPQRSTUVXY[\^_`bcefhijkmnoprsstuvwxxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\98\99\9a\9c\9d\9f¡£¥¨«¯³·º¾ÂÆÊÍÑÔ×ÚÝßáäæçéêìíîïïðññññññññððïîíìëêéçæäãáàÞÝÛÙ×ÖÔÓÒÐÎÍÌÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾½½½¼¼¼¼¼¼¼»»»»»»»»»»»»»»»»»ººººººººº¹¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´³³²²²²²²²±±±±±°°°¯¯¯¯¯¯¯®®®®®®­­­­¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡¡    ¡¡¡                         \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9d\9d\9c\9b\9a\99\98\97\95\93\91\8f\8c\8a\87\84\81~{xurolifc`^\YWVTRQPONMMLLLKKLLMNOPQRSTVXY[\]_abdfghiklnopqrstuvwxyyzz{{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f    \9f\9f\9f\9f     \9f\9f                                          ¡¡¡ ¡¢£¥¦¨ª«­°³¶¹½ÀÄÇËÎÑÔ×ÚÝßáãåçèêëìíîïðñññòòòòòòòññðïïîíìêéèæåäâáßÝÜÚÙ×ÖÕÓÑÐÏÎÍÌËÊÈÇÇÆÅÅÄÃÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡                  \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9d\9d\9c\9b\9a\99\98\96\95\93\91\8e\8c\89\87\84\81~{xurnkhec`^[YWUTRQONNMMMLLLLMMNOPQRSUVXY[\^_abdfgijklnoqrstuvwxyyz{{||}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f                                            ¡     ¡¡¡¡    ¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¤¥§©ª¬®°³¶º½ÁÄÈËÎÒÕ×ÚÝßáãåçéêëìîîïðñññòòòòòòòññðïïîíëêéçæåãâàÞÝÛÚØ×ÖÔÓÑÐÏÎÍÌËÉÈÈÇÆÆÅÄÄÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¿¿¿¾¾¾¾¾¾¾½½½½¼¼¼¼¼¼»»»»»»ººº¹¹¹¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´³³³³³²²²²±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤£££££££££££¢£££££¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡     ¡¡¡                                                 \9f\9f      \9f\9f\9f     \9f\9f              \9f\9f\9f\9e\9e\9d\9c\9b\9a\99\97\95\93\91\8f\8d\8a\87\85\82\7f|yvsolifda_\ZXVUSRPOONNNMMMMNOOPQRSUVXY[\^_abdfgijklnoqrstuvwxyzz{{||}~~~\7f\7f\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\91\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f      \9f            ¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáäåçéêëìîîïðñññòòòòòòòòñððïîíìëéèçåäãáßÝÜÛÙØÖÕÓÒÑÐÏÎÍËÊÉÈÈÇÇÆÅÅÄÃÃÃÃÃÂÂÁÁÁÁÁÁÁÁÁÀÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼»»»ººº¹¹¹¹¸¸·····¶¶¶µµµµµ´´´³³³³³³²²²²²²²±±±±±±±°°°°¯¯¯¯¯¯¯¯®®¯¯®®®®­­­­­­­­¬¬­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤££££££££££££££££££¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡    ¡¡¡¡     ¡¡                           \9f\9f\9e\9e\9d\9c\9b\9a\98\97\95\93\91\8f\8c\8a\87\84\81~{xuqnkhec`][YWUTRQPONNMMMMMMMNOPQRRTUWXZ[]^`aceghiklmoprstuvwxyz{{||}~~\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\91\91\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f                                         ¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢£¤¥§¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìîîïðñññòòòòòòòññðïïîíìêéèæåäâáßÝÜÛÙØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÄÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼»»»ºººººº¹¹¸¸¸¸¸¸····¶¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±±±±±°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªª««ªªªªªªªªªª©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££££££¢¢£££££¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡   \9f\9e\9d\9c\9b\99\98\96\94\91\8f\8d\8a\87\84\81~{xuqnkhec`][YWUTRQPONNNMMMMMMNOPQRSTVWYZ\]_`bdegijkmnpqrtuuvwyzz{||}}~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f                                          ¡¡¡     ¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢£££££££¢££££££££¤¥§¨ª¬­¯±´·»¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïððññòòòòòòòñððïïîíìêéèçæäãáàÞÝÜÚÙØÖÕÓÒÑÐÏÎÍÌËÊÊÉÉÈÇÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÃÂÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººº¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´´´´³³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©ªªª©©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤¤££££¤¤¤£££££¤¤¤¤£££¤¤¤¤¤££££¤¤££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢£££££¢¢¢¢££££¢¢¢¢£££¢¢¢¡¡¡ \9f\9e\9d\9c\9a\98\96\94\92\90\8d\8a\87\85\82~{xuqnkheb`][YWUTRQPONNNMMMMMNNOPQRSTVWYZ\]_`bdfgijkmnpqrtuvwxyz{{||}~~\7f\7f\80\80\80\81\81\81\82\82\82\82\82\83\83\83\83\84\84\84\84\84\84\84\84\84\85\85\84\85\85\85\85\86\86\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f                  ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢£££££¢¢££££££££¤¥§¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòññððïïîìëêéèæåäâàßÞÜÛÚÙ×ÖÔÓÒÑÐÏÎÍÌËËÊÉÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµµµµµ´´´³³³³³³³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤££££££££££££££££££££££££££££££¤¤££££££¤¤£££££¤¤£££££££££££££££££££££££££££££££££££££££££££¢¢¢¡¡ \9f\9d\9c\9b\99\97\95\92\90\8d\8b\88\85\82\7f{xurnkhec`^[YWVTRQPONNNNMMMMNOPQRRSUVXY[\^_abdfhijlmnpqstuvwxyz{{||}~~\7f\7f\80\80\80\80\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                                 ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨ª«­¯±´·º¾ÁÅÈËÏÒÕØÚÝßáãåçéêëìîîïðñññòòòòòòòññððïïîíëêéèçæäâáßÞÝÜÚÙ×ÖÕÔÓÒÑÐÎÍÌÌËÊÊÉÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÀÀÀÀÀÀ¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼»»»ººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶¶µµ´´´´´´´³³³³³³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯®®¯¯¯®®®­­®®®­­­¬¬­­­¬¬¬¬¬¬¬¬¬¬««««««««««««««««ªªªª««ªªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¥¤¤¤¥¥¥¥¥¤¤¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££¤¤¤£££££¤¤¤££££££££££££££££££¢¢¢¡¡ \9f\9e\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|yvsplifda_\ZXWUSRQPOONNNNNNNOPQRSTUVXY[\]_`bdeghjklnoprstuvwxyzz{{|}}~\7f\7f\7f\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\97\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f                         ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¥¦¨ª«­¯±´·º¾ÁÄÈËÏÒÕØÚÝßáãåçéêëìíîïðñññòòòòòòòññððïïîìëêéèæåäâàßÞÜÛÚØ×ÕÔÓÒÑÐÏÎÌËËÊÊÉÈÇÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¾¾¾¾¾¾½½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸·····¶¶¶¶µµµµµ´´´´´´´³³³³³³³²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®¯®®®®­®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨¨§§§¨¨¨¨§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££¤¤££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢¡¡ \9f\9e\9d\9b\99\97\95\93\90\8e\8b\88\85\82\7f|xurnkhec`][YWUTRQPONNMMMMMMMNOPQRRTUWXZ[]^`acefhijlmoprstuvwxyzz{{|}}~\7f\7f\7f\7f\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\82\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9d\9e ¢£¥§ª­°´¸¼¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïïðññññòòòññððïîîíìêéçæåäâáßÝÜÚÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½¼¼¼¼¼»»»ºº¹¹¹¹¹¸¸······¶¶µµµµµµµ´´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªª««ªªªªªªªªªª©©©¨¨§¦¥¤¢¡\9f\9d\9b\98\96\93\90\8d\8a\87\84\81}zwspmjgda_][YWVTSRQQPPPPPPQRSTUVWXY[]^`abdeghjklmopqrtuuvwxyzz{{||}~~\7f\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\83\83\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f      \9f                                                   ¡¡    ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢£¤¦¨©«¬®±´·º½ÁÄÈËÎÑÔ×ÚÝßáãåçéêëìíîïðñññòòòòòòòññððïîíìëêèçæåãâàßÝÜÛÚØ×ÕÔÓÒÑÐÏÍÌËÊÊÉÉÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÂÂÂÁÂÂÂÂÂÁÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾½½½½½¼¼¼»»»»»ºº¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´³³³³³³³²²²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª««««ªªª©©¨¨§¦¤£¡ \9d\9b\99\96\93\91\8e\8b\88\84\81~zwspmjgda_\ZXWUSRQPPPPOOOOPQRSTUVWYZ\]_`acdfgijklnoprstuvvwxyz{{||}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\84\84\83\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\93\94\94\94\94\94\95\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9b\9d\9e ¢£¥§ª­°´¸»¿ÃÇÊÎÑÔ×ÚÝßáäåçéêìíîïïðññññòòòññððïîîíìêéçæåäâáßÝÜÚÙ×ÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÂÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´´´´³³²²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©ªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨§¨¨¨¨¨§§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤££££££¤¤£££££££££££££££££££££££££££££££££¢¢¢¢£££¢¢¢¢£££££¢¢¢£££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¡ \9f\9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\84\81~{wtqnkheb_][YWUSRQONNMMMMLLMMNOPQQRTUWXZ[]^`acefhijlmoprstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\83\83\82\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                   ¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¥¦¨©«­¯±´·º½ÁÄÈËÎÒÕ×ÚÝßáãåçéêëìíîïðñññòòòòòòòñññðïïîíëêéèæåäâàßÞÜÛÚØ×ÕÔÓÒÑÏÎÍÌËÊÊÉÈÈÇÆÆÅÅÅÅÄÄÃÃÃÃÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾½½½½½½¼¼¼»»»»»ºº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££££¤£££££££££££¢£££££££¢£££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢£££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡ \9f\9f\9e\9d\9b\9a\98\96\94\92\8f\8d\8a\87\85\82\7f{xurolifc`^\ZXVTSQPOONNNNMMNNOPQRSTUVXY[\^_abdfgijkmnpqrstuvwxyz{{||}~~\7f\7f\7f\7f\80\80\81\81\81\81\81\81\82\82\82\82\82\83\83\82\82\83\83\83\83\83\83\83\83\83\84\84\84\84\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\90\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\9a\9c\9d\9f¡£¤¦©¬°³·»¿ÂÆÊÍÑÔ×ÚÝßáãåçéêìíîïïðññññòòòññðïïîíìëêèçæäãáàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾½¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»»ººººººº¹¹¹¹¹¸¸¸······¶¶µµµµµµµ´´´³³³³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®¯¯¯®®®­­­®®­­­¬¬­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨¨§¦¥¤£¢ \9e\9c\9a\98\95\92\8f\8d\8a\86\83\80}yvrolifc`^\ZXVTSRQPPOOOOOOPPQRSTUVXY[\^_`bceghiklmnpqrstuvwwxyzz{{||}~~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f      \9f                                                  ¡¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢£¥§¨ª«­°³¶¹¼ÀÃÇÊÎÑÔ×ÚÜßáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæåãáàÞÝÛÚÙ×ÖÔÓÒÑÐÏÎÌËÊÊÉÉÈÇÆÆÅÅÄÄÄÄÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»»»ºººº¹¹¹¹¹¸¸¸····¶¶¶¶µµµµµ´´´³³³³³³³²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§¦¦¦¦§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   \9f\9f\9e\9d\9d\9b\9a\99\97\95\93\91\8e\8c\89\86\83\80}zwtpmjgdb_][XWUSRPONNMMMMLLLMNNOPQRSUVXY[\]_abdfgijkmnpqrstuvwxyzz{{|}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                                   ¡¡     ¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¤¥§¨ª¬®°³¶¹½ÀÄÇËÎÑÔ×ÚÜßáãåçèêëìíîïðñññòòòòòòòññððïîíìëêèçæåãâàßÝÜÛÙØÖÕÓÒÑÐÏÎÍÌËÊÉÉÈÇÆÆÅÅÄÄÄÄÃÂÂÂÂÂÂÂÁÁÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³³²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªªªª©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9b\99\97\96\94\91\8f\8c\8a\87\84\81~{xurnkhec`^[YWVTSQPONNNMMMMMNOOPQRSTVWYZ\]_`bceghijlmopqrstuvwxyzz{{|}}~~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                               \9f                                                ¡£¤¦§©«­¯²µ¸¼¿ÃÆÊÍÑÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòòññððïîíìëéèçæäãáßÞÜÛÚØ×ÕÔÒÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿ÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»ººººº¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´´³³²²²²²²²±±±±±±±°°°°°°°¯¯¯®®®®®®®®­­®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££££¤¤¤££££££££££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9f\9e\9d\9c\9a\99\97\95\93\91\8e\8c\89\86\84\81~zwtqnjgeb_][YWUSRPONNMMMLLLLMNNOPQRSTVWYZ\]_`bdeghiklnoqrsstuvwxyzz{{|}}~~~~\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f      \9f      \9f\9f\9f     \9f\9f                                ¡¢¤¦§©ª¬¯²µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåçèêëìíîïððññòòòòòòòñððïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÒÑÐÏÎÍËÊÉÉÈÇÇÆÅÅÄÄÄÃÃÃÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¿¾¾¾½½½½½½½¼¼¼¼»»»»»ºººº¹¹¹¹¸¸¸¸····¶¶¶¶¶µµµ´´´´´´³³³²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««««««««««ªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤££££££££££££££££££££££££££¢££££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   \9f\9f\9e\9e\9d\9c\9a\99\97\95\93\91\8f\8c\89\87\84\81~zwtqmjgdb_][XWUSRPONNMMMLLLLLMNOPQRSTVWYZ\]_`bdeghiklmopqrstuvwxyyz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8b\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\8f\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f       \9f      \9f\9f\9f     \9f\9f\9f    \9f\9f\9f\9f\9f    \9f\9f                        ¡£¤¦§©ª¬¯²µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððñññòòòòòññððïîíìëéèçæäãáàÞÜÛÚØ×ÖÔÓÑÐÏÎÍÌËÊÉÈÈÇÇÆÅÅÄÄÄÃÃÃÂÂÂÁÁÁÁÁÁÀÀÀÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½¼¼¼¼¼¼»»ººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´´´³³²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££¤£££££££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡  ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡    \9f\9f\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zwspmjgda_\ZXVUSRPONNMMMLLLLLMNOPQQSTVWYZ\]_`bdeghjklnoqrsstuvwxyzz{{|}}~~~~\7f\7f\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f                                              ¡¡£¤¦§©«­¯²µ¸¼¿ÃÆÊÍÑÔ×ÙÜÞáãåçèêëìíîïððññòòòòòòòñððïïîíìêéèçåäâáßÝÜÛÙØÖÕÓÒÑÐÏÎÍËÊÉÈÈÇÇÆÅÄÄÃÃÃÃÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½½¼¼¼»»»»»»ºººº¹¹¹¹¸¸¸·····¶¶¶¶µµµµ´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬««¬¬¬«««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤£££££££££££££££££¢¢¢£££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   \9f\9f\9e\9e\9d\9c\9a\99\97\95\93\91\8f\8c\89\87\84\81~zwtqmjgeb_][XWUSRPONNMMMMMLMMNOPQQRTUWXZ[]^`acefhijlmopqsttuvxyyz{{||}~~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\99\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f                                       ¡£¤¦§©ª¬¯²µ¸¼¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòòñððïïîíëêéçæåäâàßÝÜÚÙØÖÕÓÒÑÐÏÎÍËÊÉÈÈÇÇÆÅÄÄÃÃÃÃÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½½½¼¼»»»»»»ººº¹¹¹¹¹¸¸······¶¶µµµµµµ´´´³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬«¬¬¬«««ª«««««ªªªªªªªªª©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££¤¤££££££££££££££££££¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   \9f\9e\9e\9d\9c\9a\99\97\95\93\91\8e\8c\89\87\84\81~{xtqnkheb`][YWVTRQPONNNMMMMMNOOPQRSTVWYZ\]^`acefhijkmnpqrstuvwxyyzz{||}}~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                                               ¡¢¤¥§©ª¬¯±µ¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòòñððïïîíëêéçæåãâàÞÝÜÚÙØÖÕÓÒÑÏÎÍÌËÊÉÈÈÇÆÆÅÄÃÃÃÃÂÂÂÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¾¾½½½½½½½¼¼¼»»»»ººº¹¹¹¹¹¸¸·····¶¶µµµµµµ´´³³³³³³³²²²±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤££££££¤£££££££££££¢££££££¢¢¢£££££¢¢¢£££££¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡           \9f\9f\9e\9e\9d\9c\9b\9a\98\97\95\93\90\8e\8b\89\86\83\80}zwspmjgda_]ZXVUSQPONMMMLLLLLLMNOPQRSTVWYZ[]^`bcefhijlmopqrstuvwxyzz{{|}}}~~~\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                        ¡¢¤¥§©ª¬¯±µ¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòññððïîíìëéèçåäãáàÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÅÅÄÃÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾¾¾¾¾¾½½½¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´³³³³³²²²²²²±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨§§§¦¥¤£¢¡\9f\9d\9b\99\97\94\92\8f\8c\89\86\83\7f|yurolifc`^\ZXVTSRQPPOOOOOOPPQRSTUVXY[\^_`acefhijkmnoprsstuvwxyyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\8f\90\90\90\90\90\91\91\90\90\91\91\91\92\92\92\91\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\98\99\9a\9c\9e\9f¡£¥¨«®²¶º¾ÁÅÉÍÐÓÖÙÜßáãåçéêëìîîïððñññññññððïîíìëêéçæäãáàÞÜÛÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀ¿¿¿¿¾¾½½½½½½½½¼¼½½½½¼¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´³³³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬«««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨§§§¦¦¦¥¤¤£¡ \9e\9d\9b\98\96\94\91\8e\8b\88\85\82\7f{xtqnkheb_][YWUTRQPPOOOOONOOPQRSTUVWYZ\]^`acdfgijklmopqrsttuvwxyyzz{{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¥¨«®²¶º¾ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïððññññññððïîîíìëêèçåäâáàÞÜÛÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÄÃÃÂÁÀÀÀ¿¿¿¿¾¾½½½½½½½½¼¼½½½½¼¼¼¼¼¼¼¼¼¼»»¼¼¼»»»ººººººº¹¹¹¹¹¹¸¸······¶¶µµµµµ´´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬««««ª«««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦§§§¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££££££££££¢¢¢££££¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡                                                                                 \9f\9f\9f\9e\9e\9d\9c\9c\9a\99\98\96\94\92\8f\8d\8b\88\85\82\7f|yvsolifda^\ZXVTSQPONMMMLLLLLLMNOPQRSTVWYZ\]^`bdeghijlmopqrstuvwxyyzz{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\9a\9b\9d\9f¡¢¤§ª®²¶¹½ÁÅÉÌÐÓÖÙÜÞáãåçèêëìíîïððñññññññðïïîíìëêèçåäâáßÞÜÚÙ×ÖÔÓÒÐÎÍÌËÊÉÈÇÆÅÄÄÃÂÂÁÀÀ¿¿¿¿¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»ºººººººº¹¹¸¸¸¸¸¸¸·····¶¶¶µµµµµ´´´³³³³³³²²±±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¥¤£¢¡ \9e\9c\9a\98\96\93\90\8e\8b\88\85\81~{xtqnkgeb_][YWUTRQPPOOOONNNOPQQRSTUWXZ[\^_`bdeghijkmnopqrstuvwwxyyyz{{||||}}}~~~~~~\7f\7f\7f\7f\80\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  ¢£¥§¨ª¬®±´¸»¿ÃÆÊÍÐÓÖÙÜÞáãåæèêëìíîïððññòòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¾¾¾¾¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½½¼¼¼¼¼¼»»»ºººººº¹¹¹¹¸¸¸¸····¶¶¶¶µµµµ´´´´³³³³³³²²±±±±±±±°°°°°°¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££££££££££££££££££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡                                                                                  \9f      \9f\9f\9f\9f\9e\9d\9d\9c\9b\99\98\96\94\92\90\8d\8b\88\85\82\7f|yvsolifda^\ZXVTSQPONMMLLLLLLLMNOPPQRTUWXZ[\^`acefgijkmnpqrsstvwwxyyz{{|}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f ¡£¤¦¨©«®±´¸»¿ÃÆÊÍÐÓÖÙÜÞáãåçèêëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³²²²²²±±±±±°°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤££££££££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡      ¡¡                                                                 \9f\9f\9f     \9f\9f\9f     \9f\9f      \9f\9f\9f\9e\9e\9d\9d\9c\9b\99\98\96\94\92\90\8e\8b\88\85\83\80|yvsplifda^\ZXVTSQPONMMMLLLLLLMNOPPQRTUWXZ[]^`acefgijkmnpqrrstuvwxyyzz{||}}}}~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\96\97\97\98\99\9b\9d\9f ¢¥§«®²¶º¾ÂÆÊÍÑÔ×ÚÜßáãåçéêìíîïïðññññññññðïîîíìëêèæåãâáßÝÜÚØ×ÕÔÓÑÐÎÍÌÊÉÈÇÆÅÄÃÃÂÂÁÀ¿¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»»¼¼¼»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´³³³²²²²²±±±°°°°°°°¯¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©¨¨©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¥¥¥¤£¢¡ \9f\9d\9c\99\97\95\92\90\8d\8a\87\84\81~zwtpmjgda_\ZXVUSRQPOONNNNNNNOPQRSSUVWYZ\]^`acdfghiklmnpqqrstuvwwxxyyzz{{{{||}}}}}}~~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\81\82\82\82\83\83\83\83\83\83\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\94\93\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f ¢£¥§¨ª¬¯²¶¹½ÀÄÇËÎÑÕ×ÚÝßáäåçéêëíîïïðñññòòòòòòñððïîîíëêéçæåãâàßÝÛÚÙ×ÖÔÓÑÐÏÎÍÌËÉÈÇÆÆÅÄÄÃÂÂÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¿¿¾¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¹¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´³³³²²²²²±±±±±°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªªª©©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§¦¦¥¤£¢ \9f\9d\9b\99\96\94\91\8e\8b\88\85\82~{xtqnkheb_][YWUTRQPOOOONNNOOPQRSTUVWYZ\]_`acdfgijklnopqrstuvwxxyyzz{||}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\81\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8e\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\93\93\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\98\9a\9b\9d\9f¡£¥¨¬¯³·»¿ÃÇÊÎÑÕØÚÝàâäæèéëìíîïððññññññññðïîîíìëéèæåãâàßÝÛÚØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÃÂÁÁÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼»»»»»»»»»»»»»»»»»ºººººººº¹¹¹¹¹¹¸¸·······¶¶µµµµµµ´´´´´³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯®®®­­­­­­­­¬¬¬¬¬¬¬««««««««ªªªªªªªª©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¥¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~zwtpmjgda_\ZXWUSRQPOONNNNNNOOPQRSTUVXY[\^_`bcefhijklnopqrstuvwwxyyzz{{||||}}}~~~~~~\7f\7f\7f\7f\80\80\7f\80\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\85\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\90\90\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\99\9a\9c\9d\9f¡£¥©¬°´·»¿ÃÇËÎÒÕØÛÝàâäæèéëìíîïððññññññññðïîîíìëêèæåäâáßÞÜÚØ×ÕÔÓÑÏÎÌËÊÉÈÇÆÅÄÃÂÂÁÁÀ¿¿¾¾¾¾½½¼¼¼¼¼¼¼¼»»»»»¼»»»»»»»»»»ºººººººº¹¹¹¹¹¹¹¹¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´³³³²²²²²²±±°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¦¥¥¥¤¤£¢¡ \9f\9d\9b\99\97\95\92\90\8d\8a\87\84\81~{wtqnkheb`][YWVTSQQPPOOOOOOPQRSTTUWXY[\^_`bcefhijklnopqrstuuvwxyyyzz{{|||}}}~~~~~~~\7f\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\97\97\99\9a\9c\9e\9f¡£¦©­±´¸¼ÀÄÈÌÏÒÖÙÛÞàãåçèêëìíîïððñññññññððïîíììêéèæäãáàÞÝÛÙ×ÖÕÓÒÐÏÍÌËÊÉÈÆÅÄÃÃÂÁÁÀ¿¿¾¾¾½½½¼¼¼¼¼¼¼¼»»»»»»»»»ºººººººººººººº¹¹¹¹¸¸¸¸¸¸·······¶¶µµµµµµ´´´³³³³³²²²²²±±±±°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¥¤¤£¢¡ \9f\9d\9b\99\97\95\92\90\8d\8a\87\84\81}zwspmjgda_\ZXVUSRPPOONNNNNNNOPQRSTUVXZ[\^_`bdeghijkmnopqrstuvwwxxyyzz{{||||}}~~~~~~\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\98\99\9b\9d\9e ¢¤§ª®²µ¹½ÁÅÉÍÐÓÖÙÜßáãåçéêëíîïïðñññññòñññðïïîíìëêèçåäâáßÞÜÚØ×ÕÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¿¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸······¶¶µµµµµµ´´³³³³³²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©ªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\91\8e\8b\88\85\82\7f{xurnkhec`^\ZXVTSRQPPOOOOOOPPQRSTUVXY[\]_`acefhijklnopqrstuvwwxyyzz{{|}}}}}~~\7f\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\93\93\92\93\93\93\93\93\94\94\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\96\97\97\97\97\97\97\97\98\98\9a\9b\9d\9f¡£¥¨«¯²¶º¾ÂÆÉÍÐÔ×ÚÜßáãåçéêìíîïïðñññññññññðïîíìëêéçæäãáàÞÝÛÙ×ÖÕÓÒÐÏÍÌËÊÉÈÇÆÅÄÃÃÂÂÁÀ¿¿¿¾¾¾¾½½½¼¼½¼¼¼¼¼¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»»ººººººº¹¹¹¹¹¹¸¸¸·····¶¶¶µµµµµ´´´³³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤££££¤¤££££££££££££££££££¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡    ¡¡¡     ¡¡¡      ¡¡      ¡                                                           \9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\99\97\96\94\92\8f\8d\8a\88\85\82\7f|yvsolifda^\ZXVTSQPONMMMLLLLLLMNOPQRSUVXY[\]_abdfghjklnoprrstuvwxyyzz{||}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\87\87\87\87\87\87\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\98\98\98\98\99\9a\9c\9d\9f¡£¥¨«¯²¶º¾ÂÆÊÍÑÔ×ÚÝßáäæçéêìíîïððññññòòòññðïïîíìëêèçåäâáßÝÜÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼»»»»¼¼»»»»»»»»»ººººººº¹¹¹¸¸¸¸¸¸···¶¶¶¶¶µµµ´´´´´³³²²²²²²±±±±±°°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­¬¬¬««¬¬¬««««ª«««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§¦¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~{wtqnkheb_][YWUTRQPPOOONNNOOPQRSTUVXY[\^_`bcefhijkmnoprsstuvwxxyyzz{{||}}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\85\85\85\85\86\86\86\86\87\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\99\9b\9c\9e ¡£¦¨¬¯³·»¿ÂÆÊÍÑÔ×ÚÝßáäæçéêìíîïïðññññññññððïîíìëêéçåäâáßÞÜÚÙ×ÕÔÓÑÐÎÍËÊÉÈÇÆÅÄÃÃÂÁÁÀÀ¿¾¾¾¾¾½½½¼¼¼¼¼¼¼¼»»¼¼¼¼»»»»»»»»»»ººººººº¹¹¹¹¹¹¹¹¸¸¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´³³³²²²²²±±±±±±°°°°¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¥¤£¢¡ \9f\9d\9b\99\97\95\92\90\8d\8a\87\84\81~zwtpmjgda_]ZYWUTRQPOOOOONOOPQRSTUVWXZ[]^`abdeghjklmnpqrstuuvwxyzzz{{|}}}}~~~\7f\7f\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\96\95\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\99\9b\9c\9e ¢¤¦©¬°³·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéëìíîïððññññòòòññðïïîíìëéèæåãâáßÝÜÚØ×ÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼¼¼¼¼»»»¼¼¼¼»»»»»»»»ººººº¹¹¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµ´´´´´´³³²²²²²²±±±±°°°°°¯¯¯¯¯¯¯®®®®®®®­­­¬¬¬¬¬¬¬¬«««¬«««««««««ªªªªªªªªª©©©©©©©©©©¨¨¨©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤¤£¢¡\9f\9d\9c\9a\97\95\92\90\8d\8a\87\84\81}zwspmjgda_\ZXVUSRQPOOONNNNOPPQRSTVWXZ[]^_abdeghjklmopqrstuvvwxyyzz{{|}}}}~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\95\95\95\95\95\95\95\95\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\98\97\97\97\97\98\98\98\98\98\98\99\9a\9b\9d\9f ¢¤¦©¬°³·»¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïððññññòòòññðïïîíìëéèæåãâàßÝÛÙØÖÕÔÒÑÏÍÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»ººººººº¹¹¸¸¸¸¸¸···¶¶¶¶¶¶µµµ´´´´´´³³³³³²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««ªªªªªªªªª©©©©©©©©©¨¨¨©¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¥¥¤£¢¡ \9e\9c\9a\98\96\93\90\8e\8b\88\85\82~{xuqnkheb`^\ZXVUSRQQPPPPPPQRSTUUVXYZ\]_`acdfgijklnopqrttuvwwxyzz{{||}}~~~~\7f\7f\7f\80\80\80\80\80\80\81\81\81\81\81\81\81\82\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§ª­°´¸¼ÀÃÇËÎÑÕØÚÝàâäæèéëìíîïððññññòòñññðïïîíìëêèæåãâàßÝÛÚØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÃÃÂÂÁÀÀ¿¿¿¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼»»»»»»»»ººººººº¹¹¹¸¸¸¸¸······¶¶¶µµµµµµ´´³³³³³³²²²±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««««««««ªªªªªªªª©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¥¥¤¤£¢¡\9f\9e\9c\9a\97\95\92\90\8d\8a\87\84\81}zwspmjgda_\ZXVUSRQPOOOOOOOPPQRSTUWXY[]^_abdeghjklmopqstuuvwxyzz{{||}}~~~\7f\7f\7f\7f\80\80\80\80\80\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\98\98\98\98\99\99\99\99\99\99\9a\9b\9c\9e ¡£¥§ª­±´¸¼ÀÃÇËÎÒÕØÛÝàâäæèéëìíîïððñññòòòòññðïîîíìëéèæåãâàßÝÛÙØÖÕÔÒÑÏÎÌËÊÉÈÇÆÅÄÄÃÃÂÂÁÀÀ¿¿¿¿¾¾¾½½½½½½½½½½½½½½½¼¼¼¼½½¼¼¼¼¼¼¼¼¼»»»»»»»ººº¹¹¹¹¹¹¸¸¸¸····¶¶¶¶¶µµµ´´´´³³³³²²²±±±±±°°°°°°°°¯¯¯¯¯¯®®®®­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª«««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§¦¦¦¥¤£¢¡\9f\9e\9c\9a\98\95\93\90\8d\8a\87\84\81~zwspmjgda_\ZXVUSRQPPOOOOOOPPQRSTUVXY[]^_abdeghjklmopqstuuvwxyz{{{||}~~\7f\7f\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\85\85\85\85\85\85\86\86\86\86\86\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9b\9d\9f ¢¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÛÝàâäæèéëìíîïððññññòòñññðïîíìëêéçæäãáàÞÝÛÙØÖÕÔÒÑÏÎÍËËÊÉÈÇÆÅÄÄÃÃÂÁÁÀÀÀÀ¿¿¿¾¾¾¾¾¾¾¾¾½¾¾¾¾¾½½½½½½½½½½½½½½¼¼¼¼»»»»»»»ºººººº¹¹¹¸¸¸¸¸··¶¶¶¶¶¶µµµ´´´´´´³³³³²²²²²±±±±±±°°°°°°°¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ªª««ªªªªªªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¦¦¥¥¤£¡ \9e\9c\9a\98\95\93\90\8d\8a\87\84\81~zwspmjgda_\ZXWUTRQQPPPPPPPQRRTUVWXY[]^`abdeghjkmnopqstuvwwxyz{|||}}~\7f\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9b\9c\9d\9f¡¢¤¦¨«®±µ¹¼ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïîíìëêèçåäâáßÞÜÚÙ×ÖÔÓÒÐÏÍÌËÊÉÈÇÆÅÅÄÄÃÂÂÁÁÀÀÀÀ¿¿¿¾¾¿¿¾¾¾¾¾¾¾¾¾¾½½½½½½½½½½½½½½½¼¼¼¼¼¼¼»»»ººººººº¹¹¸¸¸¸¸··¶¶¶¶¶¶µµ´´´´´´³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªª©©©©©©©©¨¨§§¦¥¤£¢ \9e\9c\9a\98\95\93\90\8d\8a\87\84\81}zwspmifda^\ZXWUTRQQPPPPPPPQQRSTUVWYZ\^_`bcefhiklmnoqrstuvwxyz{{||}}~~\7f\7f\7f\80\80\80\80\81\81\81\81\81\81\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¹½ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïîîíëêéçæäãâàÞÝÛÙØ×ÕÔÒÑÏÎÍÌËÊÉÈÇÆÆÅÄÄÃÂÂÁÁÁÁÁÀÀ¿¿¿¿¿¿¿¿¾¾¾¿¿¿¾¾¾¾¾¾¾¾¾½½½½½½½½¼¼¼¼¼¼¼¼»»»»»»ººº¹¹¹¹¹¹¸¸······¶¶µµµµµµ´´´³³³³³³²²²²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©¨¨§¦¦¥£¢¡\9f\9d\9b\98\96\93\90\8e\8b\88\85\81~{xtqnkheb`^\ZXVUSRRQQQQQQQRSTUVWWYZ\]_`bcdfgijlmnoprstuvwxyyz{||}}~\7f\7f\80\80\80\80\80\81\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\96\96\95\96\96\96\96\96\97\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f                  ¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££££¢¢¢££££££¢£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¤¤££¤¥¦§©«¬®°²µ¸»¾ÂÅÉÌÏÒÕØÛÝàâäæçéêëíîîïðñññòòòòòòòòññðïïîíëêéèçåäâáßÞÜÛÚØ×ÕÔÓÒÑÏÎÍÌËÊÊÉÈÈÇÆÆÅÅÅÅÅÄÄÃÃÃÃÃÃÃÃÂÂÂÃÃÃÂÂÂÂÂÂÂÂÂÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¿¿¾¾¾¾½½½½¼¼¼¼»»»»ºº¹¹¹¹¹¸¸¸·····¶¶¶µµµµµµ´´´´´´´³³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªª©©¨§¦¥£¢ \9e\9b\99\97\94\91\8e\8b\88\85\81~{wtpmjgda_][YWUTSRQQPPPPPPQRSTUVWXZ[]^`abdfgijlmnoqrstvvwxyz{|}}}~~\7f\80\80\80\81\81\81\81\82\82\82\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\86\86\86\86\87\87\87\87\87\87\88\88\88\88\88\88\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\97\97\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9c\9d\9e ¡£¥¦©«®²µ¹½ÀÄÈËÏÒÕØÛÝàâäæèéëìíîïððñññòòòòòññðïïîíìëéèçåäãáßÞÜÛÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÂÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿¿ÀÀÀÀÀ¿¿¿¿ÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½¼¼¼¼¼¼»»ººººººº¹¹¸¸¸¸¸¸····¶¶¶¶µµµµµµ´´´³³³³³³³²²²²²²²±±°°°±±°°°¯¯¯°°¯¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§¨¨§§§§§§§§§§§¦§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££££¤£££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¢¡  \9f\9e\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|yvrolifc`^\ZXVTSQPOONNNNNNNNOPQRSTUWYZ\]_`bdegijlmnpqstuvwxyz{|}}~~\7f\80\80\81\81\81\82\82\82\83\83\83\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\86\86\86\86\86\86\86\87\87\87\87\87\87\87\88\88\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\94\94\94\93\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f                  ¡      ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢£££££¢¢££££££¢¢£££££££¢££££££££££££££££££££££££££££££££££££££££££££££££¤¦§©ª¬®¯²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòóòòòññððïîíìëéèçæäãáàÞÝÜÚÙØÖÕÔÓÒÑÐÎÍÌËËÊÊÉÈÈÇÇÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÃÃÃÃÃÃÃÃÂÂÂÂÂÂÂÁÁÁÁÁÁÀÀÀ¿¿¿¿¿¾¾¾½½½½½¼¼¼»»»»»ººº¹¹¹¹¹¸¸¸······¶¶¶µµµµµµ´´´´´´´³³³²²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­­­­­­­­­¬¬¬¬¬¬¬¬¬««««¬¬««««««««««ªªªªªªªªª©©ªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§¨¨¨¨§§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¦¦¦¦¦¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¥¥¤¥¥¥¥¥¥¤¤¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤££¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££¢¢¢¡ \9f\9e\9d\9b\99\97\95\93\91\8e\8b\89\86\83\80}yvspmjgda_][YWUTRQPOOONNNNNOOPQRSTUWXZ[]^`acefhjklnoprstuvwxyz{||}}~\7f\7f\80\80\80\80\80\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\85\85\84\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\88\89\89\89\89\89\8a\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9c\9e\9f¡£¤¦¨«®±µ¸¼ÀÄÇËÎÒÕØÚÝàâäæçéêìíîïððñññòòòòòñððïïîíìêéçæåãâàßÝÛÚÙ×ÖÕÓÑÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÂÂÂÂÁÁÁÀÀÀÀÀÀÀ¿ÀÀÀÀÀÀ¿¿¿¿ÀÀÀ¿¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾½½½½½½¼¼¼»»»»»»ºº¹¹¹¹¹¸¸¸······¶¶µµµµµµµµ´´´´´´´³³³²³³²²²±±±±±±±°°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««¬¬««««««««««ªªª©©¨§¦¥£¡ \9d\9b\99\96\93\91\8e\8b\87\84\81}zwspmjgda_][YWUTSRQQPPPPPPQRSTUVWXY[\^_abceghjklmnpqrtuvvwxyz{|||}~~\7f\7f\80\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f            ¡    ¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢££££¢¢¢£££££££££££££££¤¥§©ª¬­¯²µ¸»¾ÂÅÈÌÏÒÕØÛÝßâäåçéêëíîîïðñññòòòòòòòòññððïîíìêéèçæäãáàÞÝÜÛÙØÖÕÔÓÒÑÏÎÍÌËËÊÊÉÈÇÇÆÆÆÆÆÅÅÄÄÄÄÄÄÄÃÃÃÃÃÃÃÃÂÂÂÂÃÃÂÂÂÁÁÂÂÂÁÁÁÀÀÀÀÀÀ¿¿¿¿¿¿¾¾¾½½½½½¼¼¼»»»»ºººº¹¹¹¸¸¸¸¸·····¶¶¶µµµµµµµ´´´´´´´´³³²²²²²²²±±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­¬¬­­­¬¬¬¬¬¬¬¬¬¬««ªªª©¨§¦¤£¡\9f\9c\9a\97\95\92\8f\8c\89\86\82\7f|xurokhec`^\ZXWUTSRRRQQQQQRSTUUVWYZ\]_`acdfgijlmnoqrstuvwxyyz{||}}~~\7f\7f\80\80\80\80\80\81\81\82\82\82\82\82\82\82\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\86\86\86\86\86\86\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9b\9a\9a\9b\9c\9d\9f¡¢¤¦¨ª®±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððñññòòòòññððïîîíìêéçæåãâàßÝÛÚÙ×ÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÃÃÃÂÂÂÂÁÁÀÀÀÀÀÀÀÀ¿ÀÀÀÀÀÀ¿¿¿ÀÀÀÀ¿¿¿¿¿¿¿¿¾¾¾¾¾¾¾¾½½½½½¼¼¼¼»»»»ºººº¹¹¹¸¸¸¸¸·····¶¶¶µµµµµµµ´´³³³³³³³²²²²²²±±±°°°±°°°°¯¯°°°¯¯¯®®¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««¬¬«««««««««ªªªªªªªªªª©©ªªªª©©©©©©©©©©©©©©©©©¨¨¨¨¨©¨¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¦¦¦¦¦¦¥¥¥¦¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££¤¤¤£££££¤¤¤£££££¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££¤¤¤££££££££££££££££££££££££££¢¢¢¡¡ \9f\9e\9c\9b\99\97\95\93\90\8e\8b\88\85\82\7f|xurokhec`^[YWVTRQPONNNMMMMMMNOPQRSTUWYZ\]^`bdegijkmnpqrtuuvwxyz{||}}~\7f\7f\7f\80\80\80\81\81\81\82\82\82\82\82\82\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\87\87\87\87\87\87\88\88\88\89\89\89\89\89\89\8a\8a\8b\8b\8b\8b\8b\8b\8c\8c\8d\8d\8d\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9d\9f ¢¤¥¨ª­±´¸¼ÀÃÇËÎÑÕØÚÝßâäæçéêìíîïððñññòòòòòññðïïîíìêéèæåäâáßÝÜÚÙØÖÕÓÒÐÏÎÍÌËÊÉÈÇÇÆÆÅÄÄÃÃÃÂÂÂÁÁÁÁÁÁÁÀÀÀÀÀÀÀÀÀÀ¿¿¿¿ÀÀ¿¿¿¿¿¿¿¿¾¾¾½½½½½½½¼¼¼¼¼¼¼»»ºººººº¹¹¹¹¹¸¸¸¸·····¶¶¶µµµµµµµ´´³³³³³³³²²²²²²²±±±°°±°°°°¯¯¯¯¯¯¯¯®®¯¯¯®®®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬¬¬««¬¬¬««««««««««ªªª©©¨§¦¥£¢ \9e\9c\99\97\94\91\8e\8b\88\85\82~{xtqnkheb`^\ZXVUSRQQQQPPPPQRSTUVWXY[\^_`bcefhiklmnpqrstuvwxyyz{{||}}~~\7f\7f\7f\7f\80\80\80\81\81\81\81\81\81\82\82\82\82\82\82\82\83\83\83\84\84\84\84\84\84\84\84\85\85\85\85\85\85\86\86\86\86\86\86\87\87\87\88\88\88\88\88\89\89\89\8a\8a\8a\8a\8a\8b\8b\8b\8c\8c\8c\8c\8c\8c\8d\8d\8e\8e\8e\8e\8e\8e\8f\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\97\97\96\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\98\99\99\99\99\9a\9a\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9b\9d\9e ¢¤¥§ª­±´¸¼¿ÃÇÊÎÑÔ×ÚÝßâäæçéêìíîïïðññòòòòóóóóóóóó
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.high.gold b/fpga/tests/tb_data/pcf7931_read_1MSA_data.high.gold
new file mode 100644 (file)
index 0000000..a971982
--- /dev/null
@@ -0,0 +1 @@
+??????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12\12ÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÌÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËËÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÊÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉ
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.highz.gold b/fpga/tests/tb_data/pcf7931_read_1MSA_data.highz.gold
new file mode 100644 (file)
index 0000000..7a57639
--- /dev/null
@@ -0,0 +1 @@
+ßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßß\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹¹³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±±³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸¸³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.in b/fpga/tests/tb_data/pcf7931_read_1MSA_data.in
new file mode 100644 (file)
index 0000000..00d0ab3
--- /dev/null
@@ -0,0 +1 @@
+\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\88\88\85\88\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\88\88\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\88\85\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\88\88\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\88\88\85\88\88\85\85\88\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\88\85\88\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\88\88\85\88\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\88\88\85\88\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\88\88\88\85\88\88\85\85\88\85\85\88\88\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\88\85\85\85\88\88\88\85\85\85\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\88\85\85\88\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\88\85\88\85\88\85\88\88\88\85\88\88\85\85\88\85\88\88\88\85\85\85\88\85\85\85\88\85\88\88\85\85\88\88\85\85\85\85\88\85\85\88\88\88\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\88\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\88\85\88\85\88\85\88\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\88\88\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\85\85\88\88\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\88\88\85\88\85\85\88\85\88\85\85\85\88\85\88\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\88\88\85\85\88\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\88\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\88\85\85\88\85\88\88\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\88\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\88\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\88\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\88\88\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\88\88\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\88\88\88\85\88\88\88\85\88\85\88\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\88\85\88\88\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\88\88\85\85\88\88\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\88\85\88\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\88\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\88\88\85\85\88\88\85\85\88\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\88\85\88\88\85\85\88\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\88\85\88\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\88\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\88\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\88\88\85\88\88\85\85\88\85\88\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\88\88\85\85\85\88\88\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\88\85\88\88\85\88\85\85\85\85\85\85\88\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\88\85\88\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\88\85\85\88\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\88\88\88\85\85\85\85\88\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\88\88\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\88\85\85\85\88\85\88\85\85\88\88\85\85\88\85\85\88\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\88\88\85\88\85\88\88\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\88\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\88\88\85\85\88\85\88\88\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\88\88\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\88\88\85\88\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\88\88\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\88\88\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\88\85\88\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\88\88\85\88\88\88\88\88\85\88\85\85\88\85\85\85\88\88\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\88\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\88\85\85\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\88\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\88\88\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\88\88\88\85\85\85\88\88\88\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\88\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\88\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\85\88\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\88\88\88\85\88\85\85\88\88\88\88\85\85\85\88\85\85\85\88\85\88\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\88\85\88\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\88\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\88\88\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\88\88\88\85\88\88\88\85\85\85\88\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\88\88\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\88\88\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\88\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\88\88\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\88\85\85\88\85\85\88\85\88\85\85\88\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\88\88\85\88\85\85\88\85\85\85\88\85\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\88\88\88\88\85\85\88\85\85\88\85\85\85\88\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\88\88\85\85\88\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\88\85\85\88\88\85\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\88\88\85\85\88\88\88\88\88\88\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\88\85\88\85\85\85\85\88\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\88\88\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\88\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\88\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\88\88\88\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\88\85\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\88\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\88\88\88\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\8b\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\88\88\88\88\85\88\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\88\85\88\85\88\85\88\85\85\85\88\88\85\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\88\85\85\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\88\88\85\88\85\88\85\85\85\88\88\85\88\85\88\88\88\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\88\88\88\85\85\88\88\85\88\85\85\88\85\85\85\88\85\85\88\88\88\88\88\88\88\85\88\85\88\85\88\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\88\85\85\88\88\88\88\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\88\88\85\88\85\88\85\88\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\8b\88\88\85\88\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\88\88\88\85\85\85\88\88\85\85\88\85\88\85\85\85\88\85\85\85\88\88\88\88\85\85\85\88\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\88\85\85\85\88\85\88\88\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\88\88\85\85\85\85\88\88\85\88\85\88\88\85\85\85\85\88\85\88\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\88\88\85\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\88\88\88\85\85\85\88\85\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\88\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\88\88\88\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\88\88\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\88\88\85\85\85\85\85\85\88\88\88\85\85\88\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\88\88\88\85\88\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\85\85\85\88\88\85\88\85\85\85\85\88\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\88\88\85\85\85\88\85\85\88\85\85\85\88\88\88\85\85\88\88\88\88\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\88\85\88\85\88\85\85\88\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\88\85\88\88\85\85\85\85\88\88\85\85\85\88\85\85\88\88\85\85\88\85\85\85\88\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\88\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\88\88\85\88\85\88\88\85\88\88\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\88\88\88\85\88\85\85\88\88\85\88\85\85\85\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\88\88\85\85\85\85\88\85\85\85\88\85\88\88\85\85\88\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\88\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\85\88\88\85\88\85\85\88\85\85\88\85\85\85\85\88\88\88\85\85\88\88\85\88\85\85\85\88\85\88\85\85\85\88\85\88\85\88\85\85\88\85\88\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\88\88\88\85\85\85\85\88\88\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\88\85\88\85\85\88\85\88\88\85\85\88\85\88\85\88\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\88\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\88\88\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\88\88\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\88\85\85\85\88\88\85\85\88\88\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\88\85\85\88\88\85\88\85\85\88\85\88\88\88\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\88\85\88\88\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\88\88\88\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\88\88\85\85\88\88\88\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\88\88\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\88\85\88\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\8b\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\88\88\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\88\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\88\88\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\88\88\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\88\88\88\85\88\88\85\85\85\85\85\88\85\85\85\88\88\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\88\88\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\88\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\88\85\88\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\88\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\88\88\88\88\85\88\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\88\88\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\88\85\88\88\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\85\85\88\88\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\88\88\85\88\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\88\85\85\88\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\88\88\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\88\85\88\85\88\85\85\88\88\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\88\88\88\88\88\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\88\85\88\88\88\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\88\88\85\85\88\88\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\88\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\88\88\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\88\85\88\85\85\85\85\88\85\85\88\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\88\85\85\85\88\88\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\88\88\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\88\85\85\88\85\85\85\88\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\88\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\85\88\88\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\88\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\88\88\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\88\85\85\85\88\88\85\85\88\85\85\88\88\85\85\85\88\88\85\88\88\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\88\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\88\85\88\85\88\85\88\85\85\85\88\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\88\88\85\85\85\85\88\85\88\85\88\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\88\88\85\85\88\85\88\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\88\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\88\88\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\88\88\88\85\85\85\85\85\88\85\88\85\88\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\88\88\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\88\88\88\85\85\85\88\85\88\88\85\85\88\85\85\88\85\85\85\85\85\88\85\88\88\85\85\88\88\85\85\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\88\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\88\85\85\88\85\88\85\85\85\85\88\88\85\88\88\85\88\85\85\85\88\85\85\85\88\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\88\88\88\85\85\85\85\88\85\88\85\88\88\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\88\85\88\85\88\85\85\88\88\88\85\85\85\88\85\88\88\88\88\85\88\88\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\88\88\88\88\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\88\85\88\88\88\85\88\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\88\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\88\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\88\85\88\85\88\85\88\85\88\85\88\85\88\85\85\85\88\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\88\88\88\85\88\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\88\88\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\88\88\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\88\88\88\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\85\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\88\88\88\85\85\88\88\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\88\88\88\85\85\88\85\85\85\85\88\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\88\88\85\88\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\88\88\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\88\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\88\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\88\88\88\85\85\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\88\88\88\85\85\88\85\88\88\85\88\88\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\88\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\88\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\88\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\88\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\88\88\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\88\88\88\88\85\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\88\88\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\88\85\88\85\85\88\88\85\88\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\88\88\85\85\88\85\85\88\88\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\88\88\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\88\88\85\85\88\85\88\85\88\88\85\85\88\85\85\88\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\88\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\88\88\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\88\88\85\88\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\88\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\88\88\85\88\85\85\85\88\88\85\85\85\85\85\85\85\88\88\88\85\88\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\88\88\88\85\85\85\88\85\88\88\85\85\88\85\88\88\88\88\88\85\85\88\88\88\85\88\85\88\85\85\85\88\85\85\88\88\88\85\88\85\85\88\88\85\85\85\88\88\88\88\85\85\85\88\85\85\85\85\85\88\88\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\88\88\88\85\88\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\88\88\85\85\85\88\88\85\88\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\88\85\85\88\88\88\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\88\85\85\85\88\88\88\85\88\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\88\85\88\88\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\88\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\88\88\88\88\85\88\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\88\88\88\85\88\88\88\88\85\85\85\85\85\85\88\88\85\88\85\88\88\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\88\88\85\88\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\88\88\85\85\88\88\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\88\88\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\88\88\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\88\85\88\88\85\88\88\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\88\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\88\85\85\88\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\88\88\85\85\88\85\85\88\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\88\88\85\88\88\85\88\88\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\85\85\88\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\88\88\85\85\85\85\88\85\88\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\88\85\85\88\88\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\88\88\85\88\85\88\88\85\88\85\85\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\88\88\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\88\88\85\85\85\85\85\85\85\85\88\88\88\88\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\88\85\88\88\85\88\88\85\85\85\85\88\85\88\85\85\88\88\85\88\88\88\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\88\88\88\85\85\88\88\88\88\85\88\85\88\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\88\85\88\85\88\88\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\88\85\88\85\88\85\85\85\85\88\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\88\88\85\85\88\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\88\85\85\85\88\85\88\88\88\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\88\88\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\88\88\88\85\88\85\88\88\88\85\85\85\85\85\85\88\88\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\88\88\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\88\88\85\88\85\85\85\85\85\88\88\85\88\88\85\85\88\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\88\88\85\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\88\85\88\88\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\88\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\88\88\85\85\85\88\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\88\85\85\85\88\85\88\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\88\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\85\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\88\85\85\85\88\85\85\88\88\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\88\88\85\88\85\85\85\85\85\85\88\88\85\88\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\85\85\88\88\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\88\88\88\85\85\88\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\88\88\85\85\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\88\88\85\88\85\85\85\85\85\88\85\85\88\85\88\85\88\88\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\88\88\88\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\88\88\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\88\88\88\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\88\88\88\85\85\85\85\88\88\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\88\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\88\88\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\88\85\85\85\85\88\88\85\88\85\85\88\85\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\88\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\88\85\85\88\88\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\88\88\88\85\85\85\85\88\88\88\88\85\85\85\85\85\88\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\88\88\88\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\88\88\85\88\85\88\88\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\88\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\88\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\88\85\88\85\85\88\85\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\88\85\85\88\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\88\88\85\85\88\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\88\88\88\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\88\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\88\88\88\88\88\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\88\88\85\85\85\88\85\88\88\88\88\85\85\85\88\88\88\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\88\88\85\88\85\85\88\88\85\88\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\88\85\85\85\88\88\85\88\85\85\85\88\85\85\88\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\88\88\85\85\85\88\85\88\88\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\88\88\85\88\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\88\88\85\85\88\85\85\88\88\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\88\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\88\88\85\85\85\85\88\85\88\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\88\88\88\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\88\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\88\88\88\85\85\85\85\88\88\85\85\88\85\88\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\85\88\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\88\88\88\85\88\85\85\85\88\85\88\88\85\85\85\85\85\88\85\85\85\88\85\88\88\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\88\85\85\85\88\88\85\88\85\85\88\85\85\85\88\85\88\85\85\88\85\85\88\88\85\88\85\85\88\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\88\85\88\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\88\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\88\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\88\88\88\85\85\85\88\85\85\88\88\88\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\88\85\88\85\85\85\88\85\85\85\88\85\85\88\88\88\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\88\85\88\88\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\88\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\88\88\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\88\88\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\88\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\88\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\88\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\85\85\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\88\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\88\85\85\85\88\85\88\85\85\85\88\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\88\88\88\85\85\88\85\88\88\85\85\85\85\88\88\85\88\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\88\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\88\88\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\88\88\85\85\88\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\88\88\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\88\85\85\85\88\88\85\88\85\88\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\88\88\85\88\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\88\85\88\88\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\88\88\88\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\88\88\85\85\85\85\85\85\85\88\85\88\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\88\88\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\88\85\85\85\88\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\88\88\85\85\85\85\88\88\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\88\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\88\88\85\88\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\88\85\88\88\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\88\85\88\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\88\88\85\88\88\85\88\85\88\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\88\88\88\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\88\88\85\88\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\88\88\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\88\85\85\88\88\85\88\88\88\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\88\85\88\88\88\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\85\88\85\88\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\88\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\88\85\88\88\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\88\85\88\85\88\88\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\88\88\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\88\85\88\88\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\88\88\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\88\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\88\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\88\88\85\88\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\88\88\85\88\88\85\85\88\88\85\85\85\85\85\88\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\88\88\85\85\88\85\88\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\88\88\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\88\85\88\88\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\88\85\88\88\85\88\88\88\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\88\88\88\88\88\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\88\88\85\88\88\85\85\88\85\88\88\85\88\85\85\88\88\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\88\88\85\85\88\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\88\88\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\88\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\88\88\88\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\88\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\88\85\88\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\88\88\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\88\88\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\88\85\88\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\88\88\88\88\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\88\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\88\88\85\88\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\88\88\85\88\85\85\85\85\88\85\85\85\88\88\88\88\85\85\88\85\85\88\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\88\88\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\88\88\88\88\85\85\88\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\88\85\85\85\88\85\88\88\85\85\85\88\85\85\85\88\85\88\85\88\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\88\85\88\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\88\85\88\85\88\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\88\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\88\88\88\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\88\85\85\88\85\88\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\88\88\88\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\88\85\88\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\88\88\88\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\88\88\85\88\85\88\88\85\88\85\85\88\88\88\85\85\88\85\85\88\88\85\88\88\85\85\85\88\88\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\88\85\88\85\88\88\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\88\88\85\88\88\85\85\88\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\88\88\88\85\85\88\85\85\85\88\85\88\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\88\85\85\88\88\85\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\88\85\88\88\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\88\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\88\85\88\85\88\88\85\85\85\88\85\85\88\88\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\88\85\85\88\88\85\88\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\88\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\88\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\88\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\88\88\85\85\88\88\85\85\88\85\88\85\85\85\88\88\85\85\85\85\85\88\88\85\85\88\88\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\88\85\85\88\88\85\85\88\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\88\88\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\88\85\88\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\88\85\88\85\88\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\88\85\85\88\88\88\85\85\85\88\85\88\88\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\88\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\88\85\88\85\88\85\85\85\85\88\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\88\85\85\88\88\88\85\85\85\85\88\85\88\88\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\88\85\85\88\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\88\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\88\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\88\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\88\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\88\85\88\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\88\88\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\88\88\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\88\85\85\88\85\88\85\85\88\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\88\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\88\88\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\88\88\85\88\88\85\88\88\88\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\88\88\88\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\88\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\88\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\88\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\88\85\85\85\88\88\85\85\88\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\85\88\85\88\85\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\88\88\85\85\88\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\88\88\85\85\88\85\88\88\85\85\85\85\88\85\88\85\85\85\88\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\88\85\88\85\85\88\88\88\88\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\88\85\88\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\88\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\88\88\88\88\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\88\85\85\88\85\88\88\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\88\88\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\88\85\85\88\85\88\85\85\85\88\85\88\88\88\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\88\88\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\88\85\85\85\85\88\88\85\85\88\85\88\85\85\85\88\88\88\85\85\85\85\85\85\88\85\88\85\85\85\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\88\88\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\88\88\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\88\85\85\88\85\85\85\88\88\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\88\88\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\88\88\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\88\88\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\88\88\88\85\88\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\88\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\85\85\88\88\85\85\85\85\85\88\88\88\88\88\88\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\85\88\85\85\85\85\85\85\88\88\88\85\85\88\88\88\85\88\88\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\88\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\88\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\85\85\85\88\88\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\88\85\88\85\88\85\85\88\88\85\88\85\88\85\85\85\88\85\85\85\85\85\85\85\88\85\88\85\88\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\88\88\85\85\88\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\88\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\88\88\85\88\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\88\88\88\85\88\85\85\85\88\85\85\88\88\88\85\85\85\85\85\85\88\88\85\88\85\85\85\88\88\88\85\85\85\85\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\88\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\88\85\85\88\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\88\85\85\85\88\88\85\88\88\85\88\85\85\85\85\88\85\88\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\88\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\88\88\88\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\88\85\88\88\85\88\88\85\88\88\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\88\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\88\85\88\88\85\85\85\85\85\88\88\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\88\88\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\88\85\85\88\85\88\85\85\88\85\85\85\85\88\88\85\85\85\85\88\88\85\88\85\85\85\85\88\88\88\85\88\85\88\85\85\88\88\85\85\85\88\88\85\85\85\85\88\85\85\85\88\85\85\88\85\85\88\85\85\85\85\88\88\88\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\88\85\88\85\85\88\85\88\88\85\88\85\85\85\85\88\85\85\85\85\85\85\88\88\88\85\85\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\85\88\85\85\85\85\85\85\88\85\88\88\85\88\85\85\85\85\88\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\88\85\85\85\85\85\85\88\85\85\88\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\88\88\85\85\88\85\85\85\85\85\88\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\88\88\85\88\88\85\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\88\85\85\85\85\88\88\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\85\88\88\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\88\88\88\88\85\85\85\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\88\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\85\85\88\88\88\88\88\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\88\88\85\88\85\85\85\85\85\85\85\85\85\88\85\88\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\85\85\85\85\88\85\85\85\88ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøÿÿÿÿÿþøîÿÿÿÿþøîçÿÿÿþøîäÝÿÿþøîäÝÓÿÿûñäÚÓÊÿþñëÝÓÍÆÿøîá×ÍÆÀûñäÚÐÆÀ¹øîáÓÊù³îäÚÐƼ¶¯ëáÓÊÀ¹¯¬äÚÐƼ¶¬¥áÓÊÀ¹¯©¢ÝÓƹ³¬¥\9fÚÍù¯©\9f\9c×ÊÀ¹¬¢\9f\95Ðƹ¯©¢\9c\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\92\8eƼ³©\9f\98\92\8bƹ¯¥\9f\95\92\88ù¬¢\9f\95\8e\88À¶¬¢\98\95\8b\85¼³©\9f\98\8e\88\85¼³©\9f\95\8e\88\81¹³©\9f\92\8e\85~¹¬¥\9f\92\8b\85~¹¬¥\9c\92\88\85~¶¬¢\98\8e\88\81{³©¢\98\8e\88\81{³©\9f\98\8e\88\81w³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯¥\9f\92\8b\85~w¯¥\9f\92\88\85{t¬¥\9c\92\88\81{t¬¥\9f\8e\88\85{w¬¢\98\8e\85~{t¬¢\98\8e\85~wt¬¢\98\8e\85~wq¬\9f\98\8b\85~wq¬\9f\95\8b\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85{wq©\9f\95\88\85{tm©\9f\95\8b\85~wm©\9f\92\8b\85{wm©\9f\92\88\85~tm©\9f\92\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\85{wm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9c\92\88\81{tm¢\9f\92\88\81{tm¥\9c\92\85\81{qm¢\9c\92\88\81{tm¥\9c\92\88\81{tj¥\9c\92\88\81{tm¥\9f\92\85~wtm¢\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¢\9f\92\88\81wtm¢\9c\8e\88\81{tj¥\9c\8e\88~wqm¢\9c\92\88~wtj¢\9c\8e\85~wtj¢\9c\8e\85\81{tm¢\98\92\88\81wqm¥\9c\8e\88~wtm¥\9c\8e\88~wqj¢\98\92\85~wqj¥\9c\92\85~wtm¢\9c\8e\85~wqj¥\9c\92\85~wtj¥\9c\92\85~wqj¢\9c\92\85~wqj¢\9c\92\88~wqj¥\9c\8e\88~wqj¥\9c\92\85\81wqm¥\9c\8e\88~wtm¢\9c\8e\88\81wqm¥\9c\92\85~wtj¢\9c\92\88~wtj¢\9c\92\85~{qm¥\9c\8e\88~wtm¢\9c\8e\85\81wqj¥\9c\92\85\81wtm¥\9c\92\85\81wtm¥\9c\8e\88\81wqm¥\98\92\85\81wqm¢\9c\92\88~{tm¥\9c\8e\85~wtm¢\9c\92\88~wqm¢\9c\8e\85\81wtj¢\9c\92\85~wqm¢\9c\92\88\81wtj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\88~wtm¢\9c\92\85\81wtj¢\9c\8e\88\81wqj¢\9c\8e\88\81wqm¢\9c\92\85\81wqj¢\9c\92\88~wtj¢\9c\92\88~wtj¢\9c\92\85\81wqm¥\9c\92\88~wqm¢\9c\92\85~wqm¢\9c\8e\85\81wqj¥\9c\92\88~wqj¢\9c\92\85\81wqj¥\9c\8e\88~wqj¢\9c\92\88~wtj¢\9c\8e\85~wqm¥\9c\92\88\81wqj¢\9c\92\88~wqm¢\9c\8e\88~wqj¢\9c\92\85~{qm¢\98\8e\88\81wtm¥\9c\92\88\81wtm¥\9c\92\85~{tm¢\9c\8e\85\81wtj¥\9c\92\85~wtm¢\9c\92\85~wtm¥\9c\92\85\81wtm¢\9c\92\88\81wtj¥\9c\92\88\81{qm¥\9c\8e\88\81wtj¥\9c\8e\88\81{tm¢\9c\8e\85\81{tm¢\9f\92\88~{tm¢\9c\92\88\81{tm¢\9c\92\88~{tm¥\9c\92\85\81{tm¢\9c\92\85~wtj¢\9c\8e\88~{qm¢\9c\8e\88~wtj¢\9c\92\85\81{qm¢\9c\8e\88\81wtm¢\9c\8e\85~wtm¥\9c\92\88\81wqm¢\9c\92\85\81wtj¥\9c\8e\85~{tm¥\9c\92\85~{qj¢\9f\92\88\81wtj¥\9c\8e\88~wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\85\81wtj¢\9c\92\88\81wqj¢\9c\92\88\81wtm¥\9c\8e\85\81wtm¢\9c\8e\85\81wtj¥\9c\92\88~wqm¥\9c\8e\88\81wtm¢\9c\92\88\81{tj¥\9c\92\88\81wtm¥\9f\92\88\81{tj¥\9c\92\88\81{tj¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\85\81wqj¥\9c\8e\88\81wtj¥\9c\92\88\81wtm¥\9f\92\85\81{tm¥\9f\92\88\81{tm¥\9c\92\85\81{tm¢\9f\92\88\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tj¥\9c\92\88\81{tm¢\9c\92\88\81{qm¢\9c\92\88\81{qm¢\9c\92\88~wtm¢\9c\92\85\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81{qm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¢\9f\92\88~wtm¥\9f\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9f\92\88\81wtm¥\9c\92\88\81wqm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\88\81{tj¢\9c\92\88\81{tm¥\9c\92\85\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¢\9c\92\88\81{tj¥\9c\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\85\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\81wqm¥\9c\92\88\81wtj¥\9c\92\85\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wtq¥\9c\92\88~wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88~{tm¥\9c\92\88\81{tm¢\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\85\81wtm¥\9c\92\88\81{tm©\9c\92\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88\81{tj¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¢\9c\92\88\81{tm¥\9f\92\85\81wtj¥\9f\92\88\81wtm¢\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¢\9c\8e\88\81{tj¥\9c\92\88\81wtm¥\9c\92\88\81wqm¥\9c\92\88\81wtm¥\9f\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tj¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9f\92\88\81wtm¢\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¢\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\8e\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88\81{qm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\85~{tm¥\9c\92\88\81wtm¢\9f\92\88\81wtm¢\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88\81wtj¢\9f\92\88\81{qm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{wm¢\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\85\81{tm¥\9f\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\85\81{tm¥\9c\8e\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¢\9f\92\88\85{qm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wqj¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\95\88\81{wm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\85{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{wm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\85{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\8e\88\81{tm¥\9f\92\88~wtm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81wqj¥\9c\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81{tj¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\85{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\8e\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\85{wm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm©\9c\92\88\81{tm¥\9c\92\85\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88\81wtm¥\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\8e\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9f\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{qm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¢\9c\8e\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\81wtm¥\9c\95\88\81{tm¥\9f\92\88\81{tm¥\9c\95\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{qm¥\9f\92\88\81wtm¥\9c\92\88\85{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\85\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\85{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\95\88\81{tm¥\9c\95\88~{tm¢\9f\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81{tj¥\9c\92\88\81wtm¢\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9f\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81wqm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88~{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\85{tm¥\9c\8e\88\81{tm¥\9c\92\88\85{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\8e\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81{tj¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9c\92\88\81{tm¥\9c\8e\88\81wtm¥\9f\8e\88\81{tj¥\9c\8e\88\81{tm¥\9c\8e\88\81wtm¥\9c\8e\88~{tm¥\9f\92\88\81wtm¥\9c\92\88\81wtm¥\9c\8e\88\81{tm¥\9f\8e\88\81wtm¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¢\9c\8e\88\81wtm¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9f\8e\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\85\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9f\8e\88\81wtm¥\9c\92\88\81{tm¥\9c\8e\88\81wtm¥\9f\8e\88\81{tm¥\9f\8e\88\81{tm¥\9f\8e\85\81{tj¢\9c\8e\88\81{tm¥\9c\8e\88\81{tj¥\9c\8e\88\81wtm¢\9c\8e\88\81{tm¥\9c\8e\88\81wtm¥\9c\8e\88\81{tm¢\9c\8e\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81wtj¥\9c\8e\85\81{tm¥\9f\8e\85~wtm¥\9f\8e\85\81{tm¥\9c\8e\88\81{tm¢\9c\92\88\81{tm¢\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9f\92\88\81wtm¢\9c\92\88\81{tm¥\9c\92\88\85{tm¥\9c\8e\88\81{tm¥\9c\92\85\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\8e\85\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\88\81{tm¢\9c\92\88\81wtm¢\9c\8e\88\81{tm¢\9f\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tj¥\9c\92\88\81{tm¥\9c\8e\88\85{tm¥\9f\92\88~{tj¥\9c\8e\88\81wtm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¢\9c\92\88\81wtm¥\9c\92\88\81{qm¢\9f\92\88\81wtj¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9f\92\88\81{qm¥\9f\95\88\81{tm¥\9c\92\85\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\85\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{qm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\95\88\81{qm¥\9c\92\85\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81wtm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9f\95\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tj¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wqm¢\9f\92\88\81{tm¢\9c\92\88\81{tj¥\9f\92\88\81wtm¥\9c\92\88\81{tm¢\9f\92\88\81{tm¢\9c\92\88\81wqj¥\9c\92\88\81{qm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81{qm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88~wtm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9c\92\88\81{qm¢\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{qm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88~{tm¥\9f\92\88\81{tm¢\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9c\8e\88\81wtm¥\9c\8e\88\81wtm¥\9c\92\88\81{tm¥\9c\8e\85\81{tm¥\9c\8e\88\81wtm¥\9c\8e\88\81{tm¥\9f\92\88\81wtm¢\9c\8e\88~{tm¥\9c\8e\88\81{qm¢\9c\8e\88\81{tm¥\9f\92\88\81{tj¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81wtm¥\9c\8e\88\81{tm¥\9c\8e\85\81wtm¢\9f\8e\88\81wtm¢\9c\8e\85\81{tm¢\9c\8e\85\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88~wtm¢\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¢\9c\92\88\81wtm¥\9f\8e\85\81{tm¥\9c\8e\88\81wtj¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9f\8e\88\81{tm¢\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\98\8e\88\81{tm¥\9c\8e\88\81wtm¥\9c\8e\88\81{tm¥\9c\8e\88\81wtm¥\9c\8e\88\81wtm¥\9c\92\88\81wtm¢\9c\8e\88~{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81wtm¢\9c\8e\88\81{tm¢\9c\8e\88\81wtm¥\9c\8e\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9c\8e\85\81{tm¢\9f\8e\88\81{tm¥\9c\92\85\81{tm¥\9c\8e\88\81{tj¢\9c\92\88\81wtm¢\9c\8e\88\81wtm¢\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81wtm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¢\9c\92\88\81{tj¥\9f\92\88\81{tm¢\9c\92\88\81{tm¥\9c\8e\88\81wtm¥\9f\92\85\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9c\8e\85\81{tm¢\9c\92\88\81{tm¢\9c\8e\88\81{tm¢\9c\8e\88\81{tm¢\9c\8e\88\81{tm¢\9c\8e\88\81{tm¥\9f\8e\88\81wtm¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9f\8e\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81wtm¢\9c\8e\88\81{tm¥\9c\92\88\81wtm¥\9c\8e\88\81{tm¥\9c\92\88\81{tj¢\9c\8e\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81wtj¢\9c\92\85\81{tj¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81wtm¢\9c\92\88\81wtm¥\9c\92\85\81{tm¥\9f\92\88\81wtm¢\9c\92\88\81wtm¢\9c\8e\88\81{tj¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¢\9c\95\88\81{tm¢\9c\92\88~{tm¥\9c\92\85\85{tj¢\9c\92\88\81wtm¢\9c\92\88\81{qm¢\9c\92\88\81wtm¢\9c\92\88~{qm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{qj¥\9f\92\88\81{tm¥\9c\92\88\81wtm¢\9f\92\88\81wtm¥\9c\92\88\81{tj¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\85\81wtm¢\9c\92\88\81{tm¥\9c\92\85\81{tm¢\9c\92\85\81wtm¢\9c\92\88\81{tm¥\9f\92\88\81wqm¢\9c\92\88\81{qm¥\9c\92\85~wtm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81wtm¢\9c\95\88\81{tm¥\9f\92\85~{tm¥\9c\92\88\81{tm¢\9c\92\85~{tm¥\9c\92\88\81{tm¥\9c\92\88\81{qm¢\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\85\81{tm¢\9c\92\88~{qm¢\9c\92\88\81wtm¥\9c\92\88\81{tm¢\9f\92\88\81wtm¢\9c\92\85\81wqm¥\9c\92\88\81wtm¢\9f\92\88\81{tm¢\9f\92\85~{tm¢\9c\92\88\81{qm¥\9c\92\88\81wtm¢\9c\92\85\81wtm¥\9c\92\88~{qm¢\98\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{qm¥\9c\92\88\81wqm¥\9c\92\85\81{tm¢\9c\92\88\81wtm¥\9c\92\88~{qm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¢\9c\92\85\81{tj¢\9c\92\88\81{qm¥\9c\92\88\81wtm¢\9f\92\88\81{qm¥\9c\92\85\81wtm¥\9c\92\85\81{tm¢\9c\92\88\81{tj¢\9c\92\88\81{tm¥\9c\92\88\81wtm¢\9c\92\88\81wtj¥\9c\8e\88~{qm¥\9c\92\88\81{tm¥\9f\8e\88\81{tm¢\9c\92\88\81{tm¥\9c\92\85\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\85\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81wtm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¢\98\92\88\81wtm¥\9c\8e\88\81{tm¢\9c\8e\88\81wtm¢\9c\92\88\81{tm¢\9c\8e\88\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81wtm¥\9c\8e\88\81{tm¥\9c\8e\85\81{tm¥\9c\8e\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81wtm¢\9c\92\88\81{tm¢\9c\8e\88\81wtj¢\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81wtm¥\9c\8e\88~wtj¥\9c\8e\88\81{tj¥\9f\92\88\81{tm¥\9c\8e\88~{tj¥\9c\92\88\81wtm¥\9c\92\88\81wtj¢\9c\92\88\81wtm¥\9c\8e\88~{tm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\85\81{tm¥\9c\8e\88~wtm¥\9f\8e\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88~wtm¥\9f\8e\88\81wtm¥\9c\92\88\81{tm¥\9c\8e\85\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81{tm¥\9f\8e\88\81{tm¢\9c\8e\88\81wtm¢\9c\92\88\81{tm¢\9f\8e\88\81{tm¢\9c\8e\88\81{tm¥\9c\92\85\81{tm¢\9c\92\85\81wtm¥\9c\8e\85\81{tm¥\9c\8e\85\81wtm¥\9c\8e\88\81{tm¥\9c\92\88~{tj¥\9c\8e\88\81{tm¥\9f\92\85\81{tj¥\9c\8e\88~{qm¢\9c\8e\88\81{tm¢\9c\8e\85\81wtj¢\9c\8e\88~{tm¥\9c\8e\88\81wtm¥\9c\8e\85\81wtm¥\9f\8e\88~{tm¢\9c\8e\88\81wtm¥\9c\92\88\81{tm¥\9c\8e\88\81wtm¢\9c\8e\88\81{tm¥\9c\92\88\81wtm¢\9c\8e\88\81wtm¢\9c\92\85\81{tj¢\9c\8e\88\81wtm¢\9c\8e\88~{tj¥\98\8e\85~{tm¥\9c\92\88\81wtm¢\9c\8e\85~{qm¥\9c\8e\88\81{tm¥\9c\92\88\81{tj¢\9c\92\88~wtm¢\9c\92\88\81wtm¥\9c\8e\85\81{tj¥\9c\92\85~{tm¢\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\8e\88\81{tm¢\9c\8e\85~{tm¢\9c\8e\88\81{tm¥\9c\92\88\81{tm¢\9c\8e\88\81{tm¢\9c\92\85\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81wtm¢\9c\92\88~{tm¥\9c\92\85\81wtm¢\9c\8e\88~wtm¢\9f\92\85\81{tm¥\9c\92\88\81wtm¢\9c\8e\85\81{tj¢\9c\92\88\81wqm¢\9c\92\85\81wtj¢\9c\92\85\81wtj¢\9c\92\88\81wtj¥\9c\92\85\81wqm¢\9f\92\88~wtj¢\9c\92\88\81{tj¥\9c\92\88~wtm¢\9c\92\85\81wtm¢\9c\92\85\81wtj¢\9c\92\85\81{tm¥\9c\92\88~wqm¢\9c\92\88\81{tj¥\9c\92\88~{tm¢\9c\92\85\81wtj¥\9c\92\85\81{qj¢\9c\92\88\81wtm¥\9c\92\88\81{tj¥\9c\92\85~{tm¢\9c\8e\88~{tm¥\9c\92\85\81wtm¥\9c\92\85\81{tj¢\9c\92\88\81wtm¢\9c\8e\85\81{tj¢\9c\92\88~{qm¥\9c\8e\85~wtm¥\98\92\88\81{tm¢\9c\92\88\81{qm¥\9c\92\88\81wtj¢\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\85\81{tm¢\9c\92\85\81wtm¢\9c\92\88\81{qm¥\9c\92\85\81{qm¥\9c\92\88~{tm¥\9c\8e\88\81wtm¥\9c\92\88~wqj¢\9c\92\85\81wtj¢\9c\92\88\81wtm¥\9c\92\88\81wqj¢\9c\8e\85~wqm¢\9c\92\88\81{qm¢\9c\8e\88\81{qm¢\9c\92\88~{qm¢\9c\92\88~wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tj¢\9c\8e\88~wqm¥\9c\8e\85\81wtj¢\9c\92\85\81{tm¢\9c\92\88\81wtj¢\9c\92\88\81wqm¢\9c\8e\85\81wtm¥\9c\8e\88\81{tj¢\9c\92\85\81wqm¥\9c\92\85\81wtm¥\9c\92\85~wqj¢\9c\92\85~{tm¢\9c\8e\88\81{tm¢\9c\92\88\81wtm¢\9c\92\88\81wtm¢\9c\8e\85\81{qm¥\9c\8e\88\81{qm¢\9c\8e\88\81{tm¥\9c\92\85\81{tm¢\9c\92\88\81wtm¢\9c\8e\88\81{tm¥\9c\8e\88\81{tm¢\9c\92\85~{tm¢\9c\92\88\81{tm¢\9c\8e\85\81{tm¢\9c\8e\88~wtm¢\9c\8e\85\81{tm¢\9c\8e\88~wtm¥\9c\8e\85~{tm¥\9c\8e\85\81{tm¢\9c\8e\85~wtm¥\98\8e\85~wtm¢\9c\8e\85\81wqm¢\9c\8e\85\81wtj¢\9c\92\88\81wtm¢\9c\8e\85~wtm¢\9f\8e\85~wqm¥\9c\8e\88~wqm¢\9c\8e\88\81wtm¥\9c\92\88\81{tj¢\9c\8e\85\81wtj¢\9c\8e\85\81wqm¥\9c\8e\88~wtm¢\9c\8e\88~{tm¢\9c\8e\85\81wtm¢\98\8e\85\81wtj¥\9c\8e\88~wtj¢\9c\8e\85\81{tj¢\9c\8e\85\81wtj¥\9c\8e\88\81{tj¢\9c\8e\88\81{tm¢\9c\8e\88~{tm¢\9c\8e\85~wtm¢\9c\8e\88\81wtj¢\9c\8e\85\81wtm¢\9c\8e\85\85{tm¢\9c\8e\88\81wtm¢\9c\8e\88~{tm¥\9c\8e\88~wtm¢\9c\8e\88\81wtm¢\9c\8e\85~{tm¢\98\8e\88~{tm¢\9c\8e\88\81{tm¢\9c\8e\85~{tm¢\9c\8e\88\81wtm¥\98\8e\88\81wtm¥\9c\8e\88\81wtm¥\9c\8e\85\81wtm¢\9c\92\85\81wtm¢\9c\8e\85\81wtm¥\9c\8e\85~wtm¢\9c\8e\85~wqj¢\9c\8e\88\81{tm¢\9c\8e\88~{tm¢\9c\8e\85~wtm¢\9c\8e\88\81wtj¢\9c\8e\85~{tj¢\9c\8e\88\81wqm¢\98\8e\85\81wtj¢\9c\8e\85~{tj¢\9c\8e\88\81{tm¢\9c\8e\85~wtm¢\9c\92\85\81wtm¢\9c\92\85~wtj¥\9c\92\85\81wtj¥\9c\8e\85~wtj¢\9c\92\85~wtm¢\9c\8e\85~wtj¢\9c\92\88\81{tm¥\9c\92\88\81wtj¢\9c\8e\85\81wtm¢\9c\8e\88~wtm¢\9c\8e\85\81wtm¢\9c\8e\88\81wtj¢\9c\8e\85\81{tm¢\9c\92\85\81{tm¢\9c\8e\85\81wtm¢\9c\8e\85\81wtm¢\9c\92\88\81wtm¢\9c\92\85\81wtm¢\9c\92\85~{tm¢\9c\92\85\81{tm¢\98\8e\85\81wtm¢\9c\8e\85\81{tm¢\9c\8e\88\81wtm¢\9c\8e\85\81{qm¢\9c\8e\85\81wtj¢\9c\8e\85\81wtj¥\9c\8e\88\81wtm¢\9f\8e\85\81wtm¢\9c\8e\85\81{tm¢\9c\8e\88\81wtm¢\9c\8e\88\81{tj¢\9c\8e\85\81wqm¥\98\92\85~wtj¢\9c\92\85\81wtm¢\9c\8e\85~wtm¢\9c\92\85\81wtm¢\9c\92\88\81wtj¥\9c\8e\85~{tm¢\98\92\88~wqj¥\9c\8e\88\81wtj¢\9c\92\85~{tm¢\9c\92\88\81wtm¢\9c\92\85\81wtm¥\98\8e\88~wtm¢\9c\92\85\81{tm¢\9c\92\85\81wtm¥\9c\8e\85~wtm¥\9c\92\85\81wtm¢\9c\92\85\81wtj¢\9c\8e\88~wqm¢\9c\8e\85~{tm¢\98\8e\88\81{tm¢\9c\8e\88~wtm¢\9c\92\85~{tm¢\9c\92\88~{tm¥\9c\92\88\81wtm¥\9c\92\85\81wtm¥\9c\92\85~{tm¢\98\8e\88\81{qm¥\9c\8e\88\81{qm¢\9c\92\88\81{tm¢\9c\8e\85~wtm¢\9c\92\88~{tm¢\98\92\85\81wtm¢\9c\92\85\81wqm¥\9c\92\88\81{tm¢\98\92\88\81wtj¥\98\8e\85\81{tm¢\9c\8e\85~wqj¢\9c\92\85~wqm¢\9f\92\88~wqm¢\9c\92\88~{tm¥\9c\8e\88~wqm¢\9c\92\88\81wqj¢\9c\92\88~{qm¥\98\8e\85~wtj¢\9c\8e\85~wtj¢\9c\92\85~wqm¢\9c\92\85~wqj¢\9c\92\85\81wtj¢\9c\8e\85~wtm¥\9c\8e\85~wqm¢\9c\8e\85\81{qj¢\9c\92\88~{qm¢\9c\92\85\81wqm¢\9c\92\88\81wqm¢\9c\8e\88~wqm¢\9c\8e\85~wtm¢\9c\92\85\81{qm¢\9c\8e\85~{tm¢\9c\8e\85\81{qm¢\98\92\85~{tm¢\9c\92\88\81{tm¢\9c\8e\88\81{qm¢\9c\92\85\81{tm¢\9c\92\88\81{tm¢\9c\8e\85\81wtm¢\9c\92\85~wtm¢\9c\92\85\81{qm¢\9c\8e\85\81{qm¢\98\8e\88\81wtm¢\98\8e\85~{tm¢\9c\8e\88\81{qm¢\98\8e\85~{tm¢\9c\8e\85\81{tm¢\9c\8e\85~wtm¢\9c\8e\85~wtj¢\9c\92\85~wtj¢\9c\8e\85\81wtm¢\9c\8e\85\81wqj¥\9c\8e\88\81wtm¢\9c\92\85\81{qm¢\9c\8e\85\81{tj¢\9c\92\88\81wqj¢\98\8e\85~wtm¢\9c\8e\85\81wtj¥\9c\92\85\81wqj¢\9c\8e\88~wqm¢\9c\8e\85\81wtj¢\9c\92\88~wtm¢\98\8e\88~wqm¢\9c\8e\85~wtj¥\9c\8e\85\81{tj¢\9c\8e\85~wtj¢\98\8e\85\81wtj¢\9c\8e\85~wqj¢\9c\8e\85\81wtm¢\98\8e\85~wtm¢\98\92\85~wtj¥\98\8e\85~wtm¢\9c\8e\88\81wtm¢\9c\92\88\81wtm¢\9c\8e\85\81{tm¢\9c\8e\85\81{tm¢\9c\8e\85~{tj¢\9c\8e\88~{qm¢\9c\8e\85~{tm¢\9c\8e\85\81{tm¢\9c\8e\88~wtm¢\98\8e\85~{tm¢\98\8e\85~{tm¢\9c\8e\85~wqm¢\9c\8e\85\81{qm¢\9c\92\85~wtm¢\9c\8e\85~wtm¢\9c\92\85~{tj¢\9c\8e\85\81wtm¥\9c\8e\88\81wtm¢\9c\8e\88\81wtm¥\9c\8e\85\81wqm¢\9c\8e\88~wqm¥\9c\8e\88\81{tm¢\9c\8e\85~wqm¢\9c\8e\85~wtj¢\9c\8e\85~wtm¢\9c\8e\85\81wtm¥\9c\8e\85~{qm¢\9c\8e\85~wtj¢\9c\8e\88\81{tm¢\9c\8e\85\81wtj¢\9c\8b\85~wtj¢\9c\8e\85~wtj¢\9c\8e\85\81{tm¢\9c\8e\85~wqj¢\9c\8e\85\81wtj¢\9c\8e\88~wqm¥\9c\8e\85\81wtj¢\98\8e\85~wtm¥\9c\8e\85\81{tm¢\9c\8e\85\81wtm¢\9c\8e\85\81{tj¢\9c\8e\88~{qm¢\9c\8e\85~wtm¢\9c\8e\85~wqm¢\9c\8e\85~wtj¢\9c\8e\85\81{tm¢\98\8e\85\81wtm¢\9c\8e\85~wtm¢\9c\8e\85\81{tm¢\9c\8e\85\81{tm¢\98\92\85~wtm¢\9c\8e\85~{tm¢\9c\8e\85\81wtm¢\9c\8e\88\81wtm¢\98\8e\85~wqj¥\9c\8e\85\81{tj¢\98\8e\85~{qm¢\9c\8e\85\81wqj¢\9c\8e\85~wtj¢\9c\8e\88~wtj¢\9c\8e\85\81wtm¥\9c\8e\85\81wtm¢\9c\8e\85~wtj¢\9c\8e\88~{tm¢\98\8e\85\81wtj¢\9c\8e\85\81wtm¥\9c\8e\85\81wtj¢\9c\8e\88~wqj¢\98\8e\85\81wtm¢\9c\92\85~wtj¥\9c\8e\88~wtm¢\9c\8e\88\81{tj¢\98\8e\85~wtj¢\9c\8e\85~wtm¢\98\8e\88~wtj¢\9c\92\85\81wqj¢\98\8e\85\81wtm¢\98\8e\88~{tj¢\9c\8e\85~{tm¥\9c\92\85~wtm¢\9c\8e\88~wqm¢\9c\8e\85~wtm¢\9c\92\88\81{tm¢\9c\92\88\81wtj¢\9c\8e\85\81wtm¢\9c\92\85\81wtj¢\98\92\85\81wtm¢\98\8e\85\81wqm¥\98\92\88\81{tm¢\98\8e\85~{tm¢\9c\8e\88\81{qm¢\98\8e\85\81{tm¢\9c\92\85~wtm¢\9c\8e\88\81{tm¢\9c\8e\85~wtm¢\9c\92\85\81wqm¢\9c\8e\85\81wqj¢\98\92\85\81wtm¢\98\92\85\81wtj¢\9c\8e\85~wtj¢\9c\92\88~{tm¢\9c\8e\85~wqj¢\98\8e\85~wtm¢\98\8e\88~wqm¢\9c\8e\85\81{tj¢\9c\8e\85~{tm¢\98\8e\85~wqj¢\98\8e\85\81wtj¢\98\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85\81{qm¢\9c\8e\85~wtm¢\9c\8e\85\81wtj¢\9c\8e\88\81wqm¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85\81wtj¢\9c\8e\88\81wqj¢\9c\8e\85\81wtm¢\9c\8e\85~wtm¢\9c\8e\88~wtm¢\98\8e\85\81{qm¢\9c\92\88~{tm¢\98\8e\88\81{qm¢\9c\92\85~{qj¢\9c\8e\85\81{tj¢\98\8e\85~{qm¢\98\8e\85~wtm¢\98\8e\85\81{tm¢\9c\8e\88\81wtj¢\9c\8e\85\81wqm¢\9c\8e\88~wqm¢\9c\8e\88\81wtm¢\98\92\88~{tj¢\98\8e\85~wtm¢\9c\92\85~wqj¢\9c\92\85\81wtj¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\9c\8e\85\81wtm¢\9c\92\85~wtm¢\98\8e\85~wqj¢\9c\8e\85\81wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wtj¢\9c\8e\85~wqj¢\9c\92\88~{tj¢\9c\8e\85~wtm\9f\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~{tj¢\9c\8e\85~wqj¢\95\8e\88~wtm¢\9c\8e\88~{qj¢\98\92\85~{tj¢\98\92\85~wtm¢\98\8e\85\81{qj¢\98\8e\85\81wtj¢\9c\8e\85~{qj¢\9c\8e\88~{tm¢\98\8e\85\81wqm¢\98\8e\85~{tm¢\9c\8e\85\81{tm¢\98\8e\85\81{tm¢\98\8e\85~wtm¢\9c\8e\88\81wqj¢\98\8e\85\81wtm¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wqm¢\9c\92\85~{tm¢\98\8e\88~{qj¢\98\8e\85~wtj¢\9c\8e\88~wqj¢\9c\8e\88~wqj¢\98\8e\85~wqj¥\9c\8e\85~wqj¢\98\8e\85\81wtj¢\9c\8e\85\81wqj¢\9c\8e\85~wqj¢\98\8e\85~wtj¢\9c\8e\88~wqj¢\98\8e\85\81wtj¢\9c\8e\85~wqm¢\9c\8e\85\81wqj¢\9c\8e\85~wtj¢\98\8e\85~wqj¢\9c\8e\85\81wtj¢\9c\8e\85~wqj¢\98\8e\85~wtm¢\9c\8e\85~wtj¢\98\8e\85\81wtj¢\98\8e\85~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wqj¢\9c\8e\85~wqm¢\9c\92\88~wtm¢\98\8e\85\81{tm¢\98\8e\85~{qj¢\9c\8e\85\81wtj¢\98\8e\85~wtj¢\98\8e\88~wtm¢\9c\8e\85~{tm¢\95\8e\85\81wtm¢\9c\8e\85\81wtm¢\9c\8e\85~wtm¢\98\8e\85~wqm¢\98\8e\85~wtm¢\9c\8e\88~wtj¢\9c\8e\85\81{tm¢\9c\8e\85~wtj¢\9c\8e\88~wtm¢\9c\8e\85\81wtj¢\9c\8e\85\81wtm¢\9c\8e\85\81wtj¢\98\92\85~wtj¢\95\8e\85~wtj¢\98\8e\85~{tj¢\98\8e\85~wtj¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wtm¢\98\8e\85~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wtj¢\98\8e\85\81{qm¢\9c\8e\85~wqm¢\98\92\85\81wqm¢\9c\8e\85\81{qm¥\9c\92\88\81wtm¢\9c\8e\85~wtj¢\9c\8e\85~{tj¢\98\8e\85~wtm¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85~{tm¢\98\8e\85~wtm¢\98\8e\85~wqj¢\98\8e\88~wtj¢\9c\8e\85~{qm¢\98\8e\85\81wtj¢\9c\8e\85\81{tm¢\98\8e\85~wtm¢\98\8e\85\81{tm¢\9c\8e\85~wtm¢\98\8e\85~wtm¢\9c\92\88~wtm¢\9c\8e\85\81wqm¢\98\8e\88~wqm¢\9c\8e\88~wqj¢\98\8e\85~wtj¢\98\8e\85\81wtm¢\98\8e\85~wqm¢\9c\8e\88~wtj¢\98\92\85~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85\81wqm¢\98\8e\85~wtj¢\9c\8e\85\81wqm¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wtj¢\9c\92\85~wtj¢\98\8e\85\81{qj¢\98\8e\85~wtj¢\9c\8e\88\81wqm¢\9c\8e\85~{tj¢\98\8e\85~wqj¢\9c\8e\88~wqm¢\98\92\88~{tm¢\9c\92\85\81wqj¢\9c\92\85~wqj¢\9c\8e\85~wtm¢\98\8e\85~{qm¢\98\8e\85\81wqj¢\9c\8e\85~wtj¢\98\8e\85\81wtm¢\98\8e\85~wqj¢\98\8e\85~{qm\9f\98\8e\85\81wtm\9f\98\8e\85\81wtj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~{qj¢\9c\8e\85~wqj\9f\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\98\8e\85~wqj¢\9c\92\85~{qj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\92\85~wtj¢\98\8e\85~wqj¢\98\8e\88~wqj¢\98\8e\85~wqj¢\98\92\85\81wqj¢\98\8e\88\81wtj¢\9c\8e\85~wtm¢\9c\8e\85~wtm\9f\98\8e\85~wqm¢\9c\8e\85~wqm¢\9c\8e\85\81wtj¢\98\8e\85\81wtm¢\9c\92\85~wqm¢\9c\8e\88~wtj¢\9c\8e\85\81wqm¢\98\8e\85\81wqm¢\98\8e\85~{qm¢\9c\8e\85~{tm¢\9c\8e\88~{tm¢\98\8e\85\81{qm¢\98\8e\85~wqm¢\98\8e\85\81wtm¢\9c\8e\85~wtm¢\9c\8e\85~wtm¢\98\8e\85~wtm¢\98\8e\85\81wtj¢\9c\92\85\81wtm¢\9c\8e\88\81wqm¢\9c\8e\85~wtj¢\9c\8e\88~wtj¢\98\8e\85~wqj¢\9c\8e\88~wtj¢\98\8e\85~wqj¢\98\8e\85\81wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85\81wqm¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wtj¢\9c\8e\85~wtm¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\98\8e\85~wtj¢\98\8e\85~wqm¢\9c\8e\85~wtj¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85\81wqj¢\98\8e\85~{qm¢\98\92\85~wtm¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85~wqj\9f\98\8e\85~{qm¢\98\8e\85\81{tm¢\98\8e\85~wqj¢\95\8b\85\81wqj¢\95\8e\85~wqj¢\98\8e\85~wtm\9f\98\8e\85{wtj¢\98\92\85~{tm¢\98\8e\85~wtj¢\98\8e\85\81wqj¢\98\8e\85\81wtj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~{qj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\92\85~wqj¢\98\8e\85~wtj¢\95\8e\85~{qj¢\98\8e\85~wtm¢\9c\8e\85\81wtm¢\98\8e\85~wtm¢\98\8e\85~{qm¢\98\8e\85\81wtj¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\98\8e\85~wtj¢\9c\8e\85~wtj¢\9c\8e\85~wtj¢\98\8e\85~wtm¢\98\8e\85~wtj¢\9c\8e\88~wtj¢\95\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqm¢\98\8e\88\81wtm¢\98\8e\88~wtm¢\9c\8e\85~wqj¢\98\8e\85\81wtj¢\9c\8e\85~wqj¢\98\8e\85~wtm¢\98\8b\85~wtj¢\98\8e\85~{qj¢\98\8e\85~wqj¢\9c\8e\85~wtm¢\98\92\85~wtj¢\9c\8e\85~wtj¢\9c\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85\81wqj¢\98\8e\85~wqj¢\98\8e\85~{qj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\92\88~wqj¢\98\8e\85~wtj¢\98\8e\85~wtj¢\9c\8e\85~{qj¢\98\8e\85~wqj\9f\98\8e\88~wqj¢\98\92\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85\81wqm¢\98\8e\85~wtj¢\9c\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wtj¢\98\8e\85~wtj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wtm\9f\98\92\85~wqj¢\98\8e\85~wtm¢\95\8e\85~{tj¢\98\8e\85~wqm¢\9c\8e\85~wqj\9f\9c\92\85~{qj¢\9c\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\88~wqj¢\98\8e\85~wqj¢\98\8e\85~wtm¢\9c\92\85\81wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~{qj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85\81wqj¢\9c\8e\85\81wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85{{tj¢\98\8e\85~wqm¢\95\8e\85~wqj\9f\98\8e\85~wqm¢\95\8e\85~wqj¢\9c\8e\85~wtm¢\95\8e\85~wtm¢\98\8e\85~wqj¢\98\8e\85~{qj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wtj\9f\98\8e\85~wqm¢\95\8e\85~{qj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqm¢\98\8e\85~wtj¢\98\92\85~wqj¢\95\92\85~wqj¢\98\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wtj\9f\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj\9f\98\8b\85~wqj¢\98\8e\85~wqm\9f\98\8b\85~wtm¢\98\8e\85~wtj¢\98\8b\85~wqj¢\98\8e\85~wqm\9f\9c\8e\85~wqj¢\9c\8e\85~{qm¢\95\8e\85\81wtm¢\98\8b\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqm¢\95\8e\85~wtj¢\98\8e\85~wtj¢\95\8e\85~{qj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\9c\8e\88~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85\81wtj¢\98\8b\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wtm¢\95\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqm¢\98\8e\85~wtj¢\9c\8e\85~wqj¢\9c\8e\85~{qj¢\95\8e\85~wqm\9f\98\8e\85~wtj¢\9c\92\85~wqj¢\98\8b\85~wtm\9f\98\8e\85~{qj¢\9c\8e\85~wqm¢\98\8e\85~wtm¢\98\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wtm¢\98\8e\85~wqj¢\98\8e\85~wtj¢\95\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\88~wtm¢\9c\8e\85~{qj¢\98\8e\85~wtj¢\98\8e\85\81wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wtj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8b\85~{qj¢\98\8e\85~wtm¢\98\8e\85~wtj¢\98\8e\85~wqj\9f\98\8e\85~{tm¢\98\8e\85~wtj\9f\98\8e\85~wqj¢\98\8b\85~wtj¢\95\8e\85~wqj¢\98\8e\85~{qm\9f\98\8e\85\81wqj¢\98\8e\85~wqm\9f\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wtm¢\9c\8e\85~wqj\9f\98\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\95\8b\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~{qj\9f\98\92\85\81wqj\9f\98\8e\85~wtj\9f\95\8e\85~wqj¢\95\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\95\8e\85~wtj¢\9c\8e\85~wqm¢\95\8e\85~wqj¢\98\8e\85~wtj\9f\98\8e\85~wqj\9f\95\8e\85~wqm¢\95\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~{qm\9f\98\8e\85~{qm¢\98\8e\85~wqj¢\98\8e\85~wqm\9f\98\8e\85~wtj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wtj¢\95\8e\85~wqm¢\98\8e\85~wqj¢\95\8e\85~wqj\9f\98\8e\85{wqj\9f\98\8e\85~wqj¢\98\8e\85~wqm¢\98\92\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85\81wqj¢\9c\8e\85~wqj\9f\9c\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wqj\9f\98\8e\85~wtj\9f\95\8e\85~wqj¢\98\8e\85~wqm\9f\98\8e\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wtm\9f\98\8e\85~wqm¢\98\8e\85~wtj\9f\98\8e\85~wqm¢\9c\8e\85~wqm¢\98\8e\85~wqm¢\98\8b\85~{qj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~{qj¢\98\8e\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85\81wqj¢\95\8e\85~{qj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj\9f\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqm\9f\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85~wqj¢\98\8e\85~wqj\9f\95\8e\85~wqj¢\95\8e\85~wtm¢\98\8b\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj\9f\98\92\85\81wqj\9f\98\8e\85~wtj\9f\98\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8b\85~wqj¢\98\8e\85{wqj\9f\98\8b\85~wtj¢\95\8b\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8b\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~wtj¢\98\8b\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85{{qj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~tqj\9f\95\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8b\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wtj\9f\98\8e\85~wqj\9f\98\8e\85~{tj¢\98\8e\85~wqj\9f\95\8e\85~wqm¢\98\8e\85~wqj\9f\98\8b\85~wqm¢\98\8e\85~wtj\9f\95\8e\85~wtj¢\98\8b\85~wqm¢\95\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj\9f\95\8e\85~{qj\9f\98\8e\85~wqj\9f\98\8e\85~wtj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85{wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj\9f\98\8e\85~wqj\9f\98\8b\85~wqm\9f\98\8e\85~{qm¢\95\8e\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqm¢\98\8e\85{wqj\9f\98\8e\85~wqj\9f\98\8e\85{wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8b\85~wqj¢\95\8e\85~wqj\9f\95\8e\85~tqj¢\98\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8e\85~wqj¢\95\8b\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8e\85~wqm\9f\98\92\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj¢\95\8e\85~wqj\9f\95\8b\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8e\85~wqm¢\98\8e\85~wqm\9f\98\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8e\85~wqm\9f\95\92\85~wqj\9f\95\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj\9f\9c\8e\85~wqm\9f\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8e\85~wqj¢\98\8b\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wqj\9f\95\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85~wqj¢\98\8e\85~wqj\9f\9c\8b\85~wqj¢\95\8e\85{wqm¢\95\8b\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8b\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8b\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj¢\98\8b\85~wqm¢\98\8b\85~wqm\9f\95\8b\85~wqj\9f\98\8b\85~wqj\9f\95\8e\85~wqm\9f\95\8b\85~wqm\9f\95\8e\85~wqj¢\95\88\85~wqj\9f\98\8e\85~wtj¢\98\8b\85~wtj\9f\98\8b\85~wtm\9f\98\8b\85~wqm\9f\95\8e\85~wqj¢\98\8e\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqm¢\98\8e\85~wqj\9f\98\8e\85~wqm¢\98\8b\85~wqm\9f\98\8e\85~wqj\9f\95\8e\85~wqq¹¯©\9f\95\92\88ÍÿÿÿÿûôëÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøÿÿÿÿûñçÝÿûîçÝ×ÍÆñäÚÐÆÀ¹¯äÓÊÀ¹³¬¢×Íù³©¢\9cÓÊÀ¶¬¥\9f\98ÓƼ¶¬¥\9f\95Óʼ³¬¢\9f\95Óƹ³©¢\9c\92Ðƹ³©¢\9c\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\92\8eƼ³©\9f\98\92\8bù¯¥\9f\95\8e\88À¹¬¢\9c\92\8b\85¼³©\9f\98\92\88\85¹¯©\9f\92\8e\88\81¹¯¥\9c\92\8b\85~¹¬¢\9c\92\88\85~¶¬\9f\95\92\8b\85{¶©\9f\95\8e\88\81{³©\9f\95\8b\85~{¯©\9f\92\8b\85\81w¯¥\9f\92\8b\85~w¯¥\9c\92\88\85~t¯¥\98\92\88\85{t¬¢\98\8e\88\81{t¬¢\95\8e\85\81wt¬¢\98\8b\85\81wt¬\9f\98\8b\85~wq¬\9f\95\8b\85~wq©\9f\92\8b\85{wm©\9f\92\88\81{wm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88~{tj¥\9c\92\85~{tj¥\9f\8e\85~wtj¢\9c\8e\88~wqj¢\9c\8e\85~wqj¥\98\8e\85~wqj¢\9c\8e\85~{qj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wmj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wmj¢\98\8b\85~wqj¢\95\8b\85~wqj\9f\98\8b\85{wqj\85~tjg`ZSPF<62/,("\e\15\ e\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\ 192,%"\1f\18\18PI?96/,,]SMF?<66g]SPFC?9j`ZPPF?<md]SPIC<jd]VPIC?mg]VPIFCqj`ZSPFFtmd]VPIFwmg]ZSPF{qj`]VPM~tjd]ZSP\81wmj`ZSS\85{qj`]ZS\85~tjd]ZV\85{tjg`ZV\88\81wmj`]Z\88\85wqjd]Z\8b\85wqjg]Z\8b\85{tjg`]\8e\85{tmj`]\8e\85~tmjd`\92\85~wqjd`\92\88\81wqjg`\95\88\81wqjg`\92\8b\85wqjgd\95\8b\81{qmjd\95\8b\85{tmgg\95\8e\85~tmjg\95\8e\85{tqjg\98\8e\85~tqjg\98\8e\85~wqjg\9c\8e\85~wqjg\98\8e\88~wqjj\9c\8e\85~wqjj\9c\92\85~wqjj\9c\92\88\81{tjj\9c\92\88\81{tmj\9c\92\88\81wtmj\9c\95\88\81wqmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\92\8b\85wtmj\9f\92\8b\81{tmj\9f\92\8b\81{tmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\88\81{wmj\9f\95\88\85{tmj\9f\95\88\85{tqj\9f\92\88\85~tmj\9f\92\8b\85{tmj\9f\95\8b\85{wqj\9f\95\88\85{wqm\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\8b\85{wq\9fÚÐƹ¶¬¥ûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôîÿÿþøîäÝÓûôçÚÓÊùîÝÓÆÀ¹³¬ÝÓƼ¶¬¥\9fÓÊÀ¶¯©\9f\9cÓƼ¶¬¥\9f\98ÓƼ¶¬¥\9f\98ÓƼ³¬¥\9f\95Óƹ³¬¢\9f\95Ðù¯¥\9f\98\92ÍÀ¶¬¥\9f\95\8eʼ³©\9f\9c\92\8bƹ¯¥\9f\98\92\88ù¬¢\9c\92\8b\85À¶¬\9f\98\92\8b\85¼³©\9f\95\92\8b\85¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¹¬¢\98\8e\88\85~¶¬\9f\98\92\88\81{³¬¢\98\8e\88\81{³¥\9f\95\8b\85\81w³©\9f\92\8b\85~w¯¥\9c\92\88\85{w¯¥\98\92\88\85{t¯¢\98\8e\88\81{t¬¢\98\8e\85\81{q¬¢\95\8e\85~wq¬\9f\95\8b\85\81wq©\9f\95\8b\85~wq©\9f\92\8b\85~wm©\9f\95\88\85~tq©\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\85{tm¢\9c\8e\88\81{tm¥\9f\8e\88\81{tm¥\9f\8e\88~{tm¢\9c\8e\85\81wtj¢\9c\8e\88~{tj¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8b\85~wqj¢\98\8b\85~wqj¢\98\8e\85~tqj¢\95\8b\85{wqj\9f\98\8e\85~wqj¢\95\8e\85~wmj\9f\98\8b\85{wmj\9f\95\8b\85{wqj¢\98\8e\85{wqj¢\98\8e\85~wqj\9f\95\8b\85~tmj¢\98\8b\85{wmj\85{qjd]ZSMF<6/,(%\1f\18\11\v\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\v9/,%"\1f\18\1fPF?66/,/]VPF?966g]SPFC<<j`ZPMFC?j`ZSPFC?md]SPICCmg]VPMFCqj`ZSMFFqj`ZVPIItmg]VSPP{qj`ZVPP~tjg]ZSP\81wmj`ZVS\85wqjd]ZV\85{qjg`ZV\85~tmg`Z]\88~wmgd]]\88\81tmjd]]\8b\81wqjg``\8e\85{tmg``\8e\85{tjjd`\8e\88~tqgd`\92\85~wqjdd\92\88~wqjgg\92\88\81wqmgg\92\8b\81{qjgj\92\88\81{tjjj\95\8e\85{tmgj\95\8b\85{wmjj\98\8e\85{tmjj\95\8e\85~tmjj\95\8e\85{tmjj\98\8e\85~tqjj\98\8e\85~wmjj\98\8e\85~wqjm\9c\92\88~wqjm\9c\92\85~wqjm\9c\92\88\81wqjm\9c\95\88~wtjm\9f\92\88\81wqmm\9f\92\88\81wtmq\9f\92\88\85wtmm\9f\92\88\81{tmm\9f\92\88\81{tmq\9f\92\88\81{tmm\9f\92\88\85{tmm\9f\95\88\81{tmq\9f\95\88\81{tmm\9f\95\8b\85{tmq\9f\95\88\85{tmq\9f\95\8b\85{tmq\9f\95\88\85{tqq\9f\95\8b\85{wmq\9f\95\8b\85{tmq\9f\95\8b\85{wmq\9f\92\8b\85{wqq\9f\95\8b\85~tq¯ÝÐƹ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøëäÚÓûñäÝÐÊùçÝÓÆÀ¹¯¬ÚÐƼ¶¬¥¢ÓÊÀ¹¯¥\9f\98ÓƼ¶¬¥\9f\98ÓƼ¶¬¢\9f\95ÓƼ³¬¢\9f\95Ðƹ³©¢\9f\95Ðù¯©\9f\9c\92Íù¬¥\9f\98\92ʼ¶¬¢\9c\92\8eƹ¯©\9f\98\8e\8bù¬¢\9f\92\8e\88À¶©¢\9c\92\8b\85¼³©\9f\95\8e\88\85¹¯¥\9f\92\8e\85\81¹¯¥\9c\92\8b\85~¶¬¢\9c\8e\88\85~¶¬¢\98\8e\88\81{³©\9f\95\8e\85\81w¯¥\9f\95\8e\85\81{¯¥\9f\92\8b\85~w¯¥\9c\95\88\85~w¬¥\9c\92\88\85{t¬¢\98\92\85\81{t¬¢\98\8e\85\81{t¬¢\95\8e\85\81wq©\9f\95\8b\85~wt©\9f\95\8b\85~wq¬\9f\92\8b\85~tq©\9f\92\88\85~wm©\9f\92\88\81{tm©\9f\92\88\85{tq©\9f\92\88\81{wm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\85\81wtm¥\98\8e\85\81{tm¢\98\8e\85\81wqm¢\98\8e\85~wtm¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wmj¢\95\8e\85~wqj¢\98\8e\85~wmj¢\95\8b\85{wqj¢\98\8b\85{wmj\9f\98\8b\85{wqj\9f\98\8b\85~wmj\9f\98\8b\85{tmj¢\98\8b\85{tqj\85{qjd]VSMC<6//("\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\a\ 4\0\1592,("\e\e,PF?92/,6]SMF?99?g]VPIC<Cj`VPMF?CjdZSPICFjd]SPICImg]VPMFMqj`ZPPIPtjd]VPMPwmg]ZSMS{qj`]VPZ~tjg]ZSZ\81wmg`]V]\81{qj`]Z`\85{qjd`Z`\85~tjj`]d\85~tmj`]d\88\81wmjd]g\88\81wqjg]g\8b\85{qjj`j\8b\85{tmg`j\8e\85{tmjdm\92\85~tqjdj\92\88~wmjgm\95\88\81wqjgm\95\88\81wqmgq\95\88\81{qjjq\95\8e\85{tmgq\95\8b\85{tmjt\95\8b\85{tmjt\95\8b\85{tmjt\98\8e\85~tmjt\9c\8e\85~wmjt\9c\92\85~wqjt\9c\8e\85~wqjw\98\8e\85~wqjw\9c\92\85~wqmw\9c\92\85~wqmw\9f\92\88~wtmw\9c\92\88\81wtmw\9f\92\88\81wqmw\9c\92\88\81wqmw\9f\92\88\81{tj{\9f\95\88\81{tm{\9f\92\8b\85{tm{\9f\92\88\81{tm{\9f\95\88\81{tm{\9f\95\8b\85{tm{\9f\95\88\85{tm{\9f\95\88\85{tm{\9f\95\8b\85{tm{\9f\95\8b\85{wm{\9f\95\8b\85{wq{\9f\95\8b\85{tm{\9f\95\88\85{wq~\9f\92\8b\85{tq{\9f\95\8b\85~tm¼ÚÐù³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôñÿÿþøîäÚ×ûñçÝÓÊüçÝÓÆÀ¹¯¬ÝÓƼ¶¬¥¥×ͼ¹¬©\9f\9fÓʼ¶¬¥\9f\9fÓʼ³©¢\9f\9fÓƹ¶¬¢\9c\9cÐƹ³©¢\9c\9cÍƹ¯¥\9f\9c\98ÊÀ¶¬¥\9f\95\92Ƽ¶©\9f\9c\92\92ƹ¯¥\9f\95\92\8eù¬¢\9c\92\8b\88¼¶©¢\98\92\88\88¼³¥\9f\98\8e\88\85¹¯¥\9f\92\8e\85\85¹¬¢\9c\92\8b\85\81¶¬¢\98\8e\88\85\81¶©\9f\98\92\88\81~³©\9f\95\8b\85~~³©\9f\95\8b\85~~¯¥\9f\95\88\85~{¯¥\9c\8e\88\81~w¬¥\9c\8e\88\81{w¬¢\98\8e\88\81{w¬¢\98\8e\85\81{w¬\9f\95\8b\85~wt©\9f\95\8b\85~ww©\9f\95\8b\85~wt¬\9f\92\8b\85~wt©\9f\92\8b\85~wt¥\9f\92\88\81{wt¥\9f\92\88\81{tq¥\9c\8e\88\81{tq¥\9c\8e\88\81{tq¢\9c\92\88\81{qq¥\9c\8e\85~{tq¢\9c\92\88~wtq¢\9c\92\88~{qq¢\98\8e\88~wqm¢\9c\8e\88~wtq¢\98\8e\85~wqm¢\9c\8e\85~wqm¢\98\8e\85~wqm¢\9c\8b\85{wqm¢\98\8e\85{wmm¢\95\8e\85~wqm¢\98\8e\85~wmm\9f\95\8e\85~wqm¢\95\8b\85{tmm\9f\95\8b\85~wmm\9f\98\8b\85{tmm\9f\98\8b\85{tqm\9f\98\8b\85{tmm\9f\98\8b\85{tmm\9f\95\8b\85{tmm\9f\98\8b\85~wmm\9f\98\8b\85~tqj\85{qj`]ZPMC96//(%\1f\18\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\a\1f\18\11\v\a\ 4\ 1%92/("\1f\e9PF?96//F]SPIC96Mg]SPFC?Pj`ZSPFCPjd]SPICPmd]SPICSmg]VPMFVqj`ZSPIZtj`]VPM]wmg`ZSP`{qj`]VP`~tjg]ZSj~wmg`ZVj\85{mj`]Vm\85{qjd`Zm\85~tmg`]q\88~wmj`]q\88\81wmjd]q\8b\81wqjg`t\8e\85{tjg`w\8e\85{tmg`w\92\85~tmj`w\92\85{wmjd{\92\88~wqjg{\92\88~wqjd~\92\88\81wqjg~\95\8b\85{qmg~\95\8b\81{tmj~\95\8b\85{tmj\81\98\8b\85{tjj\81\95\8b\85{tqj\81\98\8e\85~tmj\81\98\8e\85~tqj\81\98\8e\85~wqj\85\9c\8e\85~wqj\85\9c\8e\85~wqj\85\9c\92\88\81wtj\85\9c\92\88\81wtj\85\9f\92\85\81wqj\85\9c\92\88\81wtm\85\9f\92\88\81wtm\85\9c\92\88\81wqm\85\9f\92\88\81{qm\85\9f\92\88\81{tm\85\9f\92\88\81{tm\85\9f\92\88\81{tm\85\9f\92\8b\81{tm\88\9f\92\88\81{tm\85\9f\95\88\81{tm\85\9f\92\88\85{tq\88\9f\92\88\85{tm\88\9f\92\88\81{tm\88\9f\95\88\81{tm\88\9f\92\8b\85{tm\8b\9f\95\8b\85{tq\8b\9f\92\8b\85~tq\8b\9f\95\88\85{wqÐÚÐƹ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôñÿÿþøîäÚ×ûñäÚÓÊüëÝÓÆÀ¹¯³ÝÓƼ³¬¥©×ʼ¹¬¥\9f©Óʼ¶¬¢\9f©Óƹ¶¬¥\9c©Óƹ³¬¢\9f¥Ðù³©¢\9c¢Íù¯©\9f\9c\9fÍÀ¶¯¥\9f\95\9fƼ³©\9f\9c\92\98ù¯¥\9f\95\8e\95ù¬¢\9c\95\8b\92¼¶©\9f\98\92\8b\92¹³¥\9f\95\8e\85\8e¹¯¥\9c\92\8e\85\8b¹¬¢\9c\92\88\85\88¶¬\9f\9c\92\88\85\88¶©\9f\95\8e\88\81\85³©\9f\95\8b\88\81\85¯©\9f\92\8b\85~\85¯¥\9f\92\8b\85~\81¯¢\9c\8e\88\85~\81¬¢\9c\8e\88\81{\81¬\9f\95\8e\85\81{~¬\9f\95\8b\85~{t\8b\85{tmj`ZSIC<66/,(\1f\18\15\ e\v\v\ 4\ 4\0\0\0\0\0\0\0\ e\v\ 4\ 1\0\0\0\e%\1f\e\15\11\v\a6<6/,%"\1fFPI?962/P`VPF?96Vg]SPFC<Zj`VPIF?Zj`ZSMFCZjdZSPIC]mg]VPIF`qj`VSMFdtjdZSPIgtmg]VSMj{qj`ZSPm~tjd]ZPq~wjg]]St\85{mj`]Vt\85{qjg]Zw\85{tjg`]{\85~tmj`]{\88\81wqj`]~\8b\81wqjg`~\8b\85{qjg`~\8e\85{tmg`\85\8e\85{tmg`\85\92\88~tmjg\85\92\88~wmjg\85\92\88~wqjgÍÐƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîëÿÿøîäÝÓÐñçÝÓÊù¼áÓÊÀ¹³¬¯ÓÊÀ¹¬©\9f©Íù¯©¢\9c©Êù¯¥\9f\98©Íù¯¥\9f\95©Êù¯¥\9f\98©ÍÀ¶¬¥\9f\98¥ÊÀ¶¬¢\9c\92¢Ê¼³©¢\9c\92\9fƹ¯¥\9f\95\92\9fö¬¢\9c\92\8b\9c¼³¬\9f\98\92\8b\98¼³¥\9f\95\8e\88\95¹¯¥\9f\92\8e\85\92¶¯¢\9c\92\8b\85\8e¶©¢\9c\8e\88\85\8e³©\9f\95\8e\85~\8b³©\9f\95\8b\85~\8b¯¥\9f\92\8b\85~\88¯¥\9c\92\8b\85~\88¯¥\9c\8e\88\81{\88¬¢\98\8e\88\81{\85¬¢\98\8e\85\85{\85¬¢\98\8e\85~w\85©\9f\98\8e\85~w\85©\9f\92\8b\85~w\85©\9f\92\8b\85~w\85©\9f\92\88\81{w\85¥\9f\92\88\81{t\81¥\9f\92\88\81{t\81¢\9c\92\88\81{t\81¥\9c\92\88\81{t~¥\9c\92\85\81wt~¥\9c\92\85\81wt~¥\9c\8e\85\81wq~¢\9c\8e\85\81{q~¢\95\8e\85\81wq~¢\98\92\85~{q~¢\9c\8e\85~wq~¢\95\8e\85~wq{¢\98\8e\85~wq{\9f\98\8b\85~wq~¢\98\8e\85~tq~¢\95\8e\85~wq~¢\98\8b\81~tm~\9f\95\8b\85{wm{¢\95\8b\85{wm~\9f\95\8b\85{tm{\9f\98\8b\85{tm~\9f\95\8b\85{tq~\9f\95\8b\85{tm{\9f\95\8b\85{tm~\9f\95\8b\85{wm~\9f\95\8b\85{tm~\9f\95\8b\85{tm{\9f\95\8b\85{wq{\9f\95\8b\81{tmj\85{qj`]ZPIC<6/,(%\1f\15\ e\v\ 4\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\e\1f\18\11\v\ 4\ 4\0662,%\1f\1f\18PPF?96/,Z]SPF?96`g]SMFC<`j`ZPIF?djdZSPFCdmd]SPICgmg]VPIFjqj]ZSMFmtj`]SPMqwmg]VSPt{qj`ZVPt~tmd]ZS{\81wmg`ZV~\85wmjd]Z~\85{qjg]Z\81\85{tmg`]\85\88~tmg`]\85\88~wmj`]\88\88\85wqjd`\88\8b\85{qjg`\88\8b\85{tmg`\8e\8e\85{tmj`\8e\8e\85{tmj`\8e\8e\85~tmjd\8e\92\88~wqjg\92\92\88\81wqjg\92\92\88\81wqmg\92\95\8b\81wtmg\95\95\8b\81{tjg\95\95\8e\85{tmj\95\98\8b\85{tmj\95\98\8e\85{tqj\98\98\8e\85~tqj\98\98\8e\85{tmj\98\98\92\85~wqj\98\9c\8e\85~wqj\98\9c\8e\85~wqj\9c\9c\92\85~wqj\9c\9c\92\85\81wqm\9c\9c\92\85~wqj\9c\9c\92\88~wqm\9c\9c\92\88\81wtj\9c\9c\92\88\81{qm\9c\9f\92\88\81wqm\9f\9c\92\88\81{tm\9f\9c\92\88\81{tm\9c\9f\92\88\81{tm\9c\9f\92\8b\81{tm\9c\9f\95\88\85{tm\9f\9f\92\88\85{tm\9c\9f\92\88\85{tm\9f\9f\92\88\81{wm\9f\9f\92\88\85{tm\9f\9f\95\88\81{tq\9f\9f\92\88\81{tm\9f\9f\92\88\81{wm\9f\9f\95\88\85{wqáÚÍù¯¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôþÿÿþôîäÚáûñäÚÓÊÃÐçÝÓÆÀ¹¯ÃÚÐü¶¬¥¼Óʼ¹¬©\9f¼Óʼ³¬¥\9fÀÓƼ³¬¢\9f¼ÐƼ³©¢\9c¹Ðƹ³©¢\9c¹Íù¯¥\9f\9c¶ÊÀ¶¬¥\9f\95³Æ¼³©¢\98\92¯Ã¹¯¥\9f\98\8e¬À¶¬¢\9c\92\8b©¼¶©\9f\98\92\88¢¹¯¥\9f\98\8e\88¢¹¯¥\9f\92\8b\85\9f¹¬¢\9c\92\8b\85\9f¶©\9f\95\8e\88\85\9f³©\9f\98\8e\85\81\9f³©\9f\95\8b\85~\9c³¥\9f\95\88\85~\98¯¥\9c\8e\88\85{\9c¬¥\9c\92\88\85~\95¬¢\95\8e\88\81{\95¬\9f\98\8e\85\81{\95©\9f\95\8b\85\81w\92©\9f\95\8b\85~w\92¬\9f\95\8b\85~w\92©\9f\92\8b\85~t\8e©\9f\92\8b\81~w\8e¥\9f\92\88\85{t\92¥\9f\92\88\81{t\8e¥\9c\8e\88\81{t\8e¥\9f\92\88\81{t\8e¥\9c\8e\85~{t\8e¢\9c\8e\85~wq\8b¢\9c\8e\88\81{t\8e¢\9c\8e\85~{q\8e¢\9c\8e\85~wq\8b¢\9c\8e\85~wq\8e¢\98\8e\85~wq\8b¢\9c\8e\85~wq\8b¢\98\8e\85{tq\8e¢\98\8b\85{wm\8b\9f\98\8e\85~wq\8b¢\9c\8b\85~wq\8e¢\95\8e\85{tq\8b\9f\95\8b\85{tm\8b\9f\98\8b\85{tm\88\9f\98\8b\85{tm\8b\9f\95\8b\85~tm\8b\9f\95\8b\85{tm\8b\9f\98\8b\85{wm\8b\9f\98\8b\85{tm\88\9f\95\8b\81{tm\88\9f\95\8b\85{tm\8b\9f\95\8b\85~tm\88\9f\95\8b\81wtmq\85{qj`]VPIC96//,"\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\ 4\ 4\0\0\0\0\0\0%\1f\18\11\v\a\ 4\ 1C92,("\1f\18VPF?96/,d]SPF?<6jg]SPFC?mj`ZPMF?mjdZSPFCmjd]VPMFqmg]VPMFtqj`ZPPIwqjd]SPM{tmd]ZPP~wqj`]VP\85{tjd]ZS\85~tmg`ZS\88\85wmj`]V\88\85{qjd]V\8e\85~tjg`Z\8e\85~tmg`]\92\88~wqjd]\92\88\81wmjd]\92\8b\81{qjg`\92\8e\85{tjg`\95\8e\85{tmj`\98\8e\85~tmj`\98\8e\85~tqj`\98\8e\88\81wqjd\9c\92\88\81wqjg\9c\92\88\81wqjg\9f\95\8b\81wtmg\9f\95\8b\85{tjj\9f\95\8b\85{tmg\9f\95\8e\85{tmj\9f\98\8e\85{tmj\9f\95\8e\85{wqj\9f\98\8e\85~wmj\9f\98\8e\85~tqj\9f\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\88\81wqj¢\9c\92\85\81{tj¥\9c\92\88\81{tm¥\9c\92\88~{tm¥\9c\92\88\81wtm¢\9f\92\88\81wtm¢\9c\92\88\81wtm¢\9f\92\88\81{qm¢\9c\92\88\81{tm¥\9c\92\88\85{tm¥\9f\92\88\85{wm¥\9f\92\88\81{tm©\9f\92\8b\81{wm¥\9f\92\88\81{tm¥\9f\95\8b\85{tm©\9f\92\88\85{tm©\9f\95\8b\85{tm©\9f\95\88\81{tmäÚÐù³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþøîäÚîûñäÚÓÊÀÚëÝÓÆÀ¹¯ÓÚÐƼ¶¬¥ÐÓÊÀ¶¯¥\9fÍÓÆÀ¶¬¥\9fÍÓƼ³©¥\9cÍÓƹ³©¢\9cÊÐƹ¯©¢\9fÊÊù¯¥\9f\98ÆÊö¬¢\9f\95ÀƼ³©¢\9c\92¼Ã¹¯¥\9f\95\8e¹À¶¬¢\9f\95\8b¶¼³©\9f\98\92\88³¹¯©\9f\95\8e\85³¹¯¢\9c\92\8b\85¯¹¬¢\9c\92\88\85¯³©\9f\98\8e\88\85¬³©\9f\95\8b\85\81¬¯©\9f\92\8b\85~©³¥\9f\92\8b\85~©¯¢\9c\92\88\85~¥¬¢\9c\8e\88\85{¥¬¢\98\8e\85\81{¢¬¢\95\8e\85\81w¢©¢\92\8e\85~w\9f©\9f\95\8b\85~w\9f©\9f\95\8b\85~w¢©\9f\92\88\85{w¢©\9f\92\88\85{w\9f¥\9f\92\88\85{w¢¥\9f\92\88\81{t\9f¥\9c\92\88\81{t\9f¢\9c\8e\88\81{t\9f¢\9c\8e\85\81wt\9f¥\9c\8e\85~wq\9f¢\9c\8e\85~wq\9f¢\98\8e\85~wq\9f¢\98\8e\85~wq\9f¢\98\8e\85~wq\9c¢\98\8b\85~tq\9f¢\98\8e\85~wq\9c¢\98\8b\85~wq\9f¢\95\8b\85~wq\9f¢\98\8b\85{wm\9c\9f\98\8b\85~wm\9c¢\98\8e\85{wm\9c\9f\95\8b\85{tm\9c\9f\95\8b\85{tm\9c\9f\95\88\85{wm\9c\9f\95\8b\85{tm\9c\9f\95\88\85{tm\9c\9f\95\8b\81{tm\9c\9f\95\8b\85{tm\9c\9f\95\8b\85{wq\98\9f\95\8b\85{tm\9c\9f\95\8b\81{tm\9c\9f\95\88\85{tm{\85{mjd]ZSMC<62/,%\1f\18\15\ e\v\a\ 1\ 1\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\0,\1f\e\15\ e\v\a\ 4C62,("\1f\1fZPF?92/,j]SPF?<6qd]VPFC<tj`ZPMF?tj`ZSPICwj`]SPFC{jg]SPIF{qg]VSIF~qj`ZSPI\81tjd]VPM\85wqg`ZSP\88{tjd]VP\8b~tjg`ZS\8e\81wmj`]S\8e\85{qj`]Z\92\85{qjg]Z\95\85~tmg`Z\95\85\81wmjd]\95\88\81wqjg]\98\8b\85wqjd`\9c\8b\85{qjg`\9c\8b\85{tmj`\9f\8e\85~tmj`\9f\8e\85~wmjd\9f\8e\88~tmjg\9f\92\88\81wqjg\9f\92\88\81wqmd¢\95\88\85wqmg\9f\95\8b\81{tmg¢\95\8b\81{tmj¢\98\8b\85{tmj¢\98\8b\85{tmj¥\98\8e\85~wmj¥\95\8e\85~tqj¥\9c\8e\85~wqj¥\98\8e\85~wqj¥\98\8e\85~wqj¥\98\8e\85~wqm¥\9c\8e\85~wqj©\9c\8e\85~wqm©\9c\8e\85~wtm¥\9c\92\85\81wqj©\9c\92\85~wtj©\9c\92\88\81wtm©\9f\92\88\81{qm©\9c\92\88\81wtm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\85{qm©\9f\92\88\81{tm¬\9f\92\88\85{tm¬\9c\95\88\81{tm¬\9f\92\8b\81{tm¬\9f\95\88\81{tm¬\9f\95\88\81{tmçÚÍù³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôîäÚûûîäÚÐÊÀççÚÐƼ¹¯áÚÓƹ³¬¥ÚÓÍÀ¹¬¥\9fÚÐƼ³¬¢\9f×Ðƹ³©¢\9f×Ðƹ³©¢\9c×Ðù¯©¢\9cÓÍù¯¥\9f\98ÓÊÀ¶¬¢\9f\95ÍƼ³©¢\9c\92Êù¬¥\9f\95\8eÆÀ¶¬¢\9c\92\8bü¯©\9f\98\92\88À¹¯¥\9f\92\8e\85¼¹¬¢\98\92\8b\85¼¶©¢\95\8e\88\85¹³¬\9f\95\8e\88\81¹³©\9f\95\8e\88\81¹³©\9f\92\8b\85~¶¯¥\9f\92\8b\85~¹¯¥\9c\95\88\85~³¬¢\9c\92\88\81{³¬¢\9c\8e\88\81{³©¢\98\8e\88~{¯©\9f\95\8b\85~w¯©\9f\95\8b\85~w¯©\9f\92\8b\85~w¯©\9f\92\8b\85~t¯¥\9f\92\88\81{t¬¥\9f\92\88\81{t¬¥\9c\92\88\81{q¬¥\9c\92\88\81{t¬¥\9c\8e\85\81{q¬¢\9c\8e\85~wq¬¢\98\8e\85~wt¬¢\9c\8e\85~wt¬¢\9c\8e\85~wq¬¢\98\8e\85~wq©¢\98\8e\85{wq©¢\98\8e\85{wq©\9f\95\8b\85{tq©\9f\95\8b\85~wq¥\9f\98\8b\85~wq¥\9f\95\8b\85{tm¥\9f\98\8b\85{tq¥\9f\95\8b\85~wq¥\9f\95\8b\85~tq¥\9f\95\8b\85{tm¥\9f\95\88\85{tq¥\9f\95\8b\81{tm¥\9f\95\8b\85{wm¥\9f\95\88\85{tm¢\9f\95\8b\85{tm¥\9f\95\8b\81{wq¢\9f\95\88\85{tm¥\9f\92\88\81{tm¥\9f\95\88\85{tm\85\85wqj`]VPIC96/,("\1f\15\ e\v\ 4\ 4\0\0\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0(\1f\15\ e\v\ 4\ 1\0C6/("\1f\1f\18ZPC<62/,j]SMF<96qd]SPF?<tj]ZPIF?wj`ZPMFCwj`ZSPICwmg]SPFC{mj]VPMF~qj`ZSPF\85tmd]VPM\85wmg`ZSP\88{qj`ZVP\8e~tjg]ZS\8e\81wmj`ZV\8e\85{qj`]V\92\85{qjg]Z\95\85{tmg`]\95\88\81tmjd]\95\88\81wqjd]\98\8b\81wqjg]\98\8b\85{qjg`\9c\8b\85wtjj`\9f\8e\85{tmjd\9f\92\85~tmjg\9f\92\85~wqjg\9f\92\88~wtjg\9f\92\88\81wtjg¢\92\8b\81{tjg\9f\92\88\81{tmj¢\92\88\85{tmj¥\95\8b\85{tmj¥\95\8e\85{wmj¢\95\8b\85~tmj¥\98\8e\85{wqj¥\98\8e\85~wqj©\98\8e\85~wqj©\9c\92\85~tqj¥\98\8e\85~wqj©\9c\8e\85~wqj©\9c\8e\88~wqj©\9c\8e\85~{qm©\9c\92\85~wqj©\9c\92\85~wqj©\9c\92\88\81{tj©\9c\92\88\81wqj©\9c\92\88\81wtm©\9c\92\88\81wqm©\9c\92\88\81{tj©\9c\92\88\81wtm©\9f\92\88\81{tm©\9f\92\88\81{tm¬\9c\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tj©\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\92\8b\85{tmç×Íù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûôëá×ÿûîä×ÐÆÀñäÚÐƼ¹¯çÚÐƹ³¬¢áÓƼ¶¬¥\9fÝÓƹ³©¢\9fÝÐƹ³©¢\9cÝÐù³©\9f\9fÚÍù¯©\9f\9cÚÍù¬¥\9f\98×ʼ¶©¢\9c\95Óƹ¯©\9f\9c\92Ðù¯¥\9f\95\8eÍÀ¶¬¢\9c\95\8bʼ¶©\9f\95\8e\88ƹ¯¥\9f\92\8e\85ƹ¬¢\9c\92\8b\85ƹ¬¢\9c\92\88\85À¶©\9f\95\8e\88\85À³©\9f\95\8e\85\81¼³¥\9f\92\8b\85\81¼¯¥\9c\92\8b\85{¼¬¢\9c\92\88\85~¹¯¢\98\8e\88\81{¹¬¢\98\8e\85~{¹¬\9f\95\8b\85~{¹¬\9f\95\8b\85~w\95\8e\85{qjd]ZPI?<62/("\1f\15\11\v\ 4\ 4\a\ 4\0\0\0\0\0\0\e\ e\a\ 4\0\0\0\0/%\1f\18\15\ e\v\ 4F<6/,%\1f\1fZPI?962/j]SPF?96qd]SPFC<tj]VPIC?wj`ZPMF?wjd]SPICwmg]SPIC{qj`VPMF~qj`ZSPI\85tmg]ZSP\85wqj`]SP\85{qjd]ZS\88~tmg]ZS\8b\81wmj`]V\8e\85{qjd]Z\92\85~tmg`Z\95\85{tmg`]\95\88~wmjd]\98\88\81wmjd]\98\88\85wqjg`\9c\8e\81{qjg`\9f\8b\85{tmg`\9c\8e\85{tmj`\9f\8e\85~tmj`\9f\8e\85~tqjdÚÐù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿÿøîäÚÓþôëÝÓÊüîáÓÊÀ¹³©äÓƼ¶¯¥\9fÝÐù¯©\9f\9cÚÍù¬¥\9f\98ÚÊÀ¹¬¥\9f\98ÚÊÀ¹¬¥\9f\98Úʼ¹¬¥\9f\95×Ƽ³©¢\9c\92ÓƼ¯¥¢\98\92Óù¬¥\9f\95\8eÐÀ¶©\9f\9c\92\8bʼ³©\9f\98\8e\88ʹ¯¥\9f\95\8e\88ƹ¬¢\98\92\8b\85ù¬¢\98\8e\88\85ó©\9f\95\8e\88\81À³©\9f\92\8b\85\81À¯¥\9f\92\8b\85~¼¯¥\9c\92\8b\85~¹¬¢\98\8e\88\81{¹¬¢\98\8e\88\81{¹¬\9f\98\8b\85\81{¹©\9f\95\8b\85~{¹©\9f\95\8b\85~w¹©\9f\92\88\85~w¶©\9f\95\88\85~w¶©\9f\92\88\85{w¶©\9f\92\88\85{t³¥\9c\92\88\81wt³¥\9c\92\85\81{t³¥\9c\8e\85\81wt³¥\98\92\85\81wq³¢\98\8e\85\81wt³¢\95\8e\85\81wq³¢\9c\8e\85~wq³¢\95\8e\85~wq¯\9f\98\8b\85{wm¯¢\95\8b\85~wm¯¢\95\8b\85~wm¯¢\95\8b\85~tq¯¢\95\8b\85~wq¯¢\95\8b\81~tm¯\9f\95\8b\81~tm¯\9f\92\8b\85{tm¯\9f\92\8b\81{wq¯\9f\95\8b\85~tm¯\9f\95\8b\81{tm¯\9f\95\88\85{tm¯\9f\95\8b\85{tm¯\9f\98\88\81{tm¯\9f\95\8b\85{tm¬\9f\92\88\85wtm¯\9f\95\88\85{tm¬\9f\92\88\85{tm¯\9f\92\88\85{tm¬\9f\95\88\81{tm¬\9f\92\88\81{tm\8e\85wqj`]ZPIC<6//(%"\e\11\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\a\ 1\0\0\0\0\0(\1f\e\11\ e\a\ 4\ 1?62,("\1f\eVPF?62/,g]SMC?96md]SPFC<tg`VPIC?tj`ZPMF?tj`ZSMFCwjd]SPIC{mg]VPMF~qj`ZSPI\81tjd]ZPM\85wmg]ZSP\85{qjd]VP\88~tjg]ZS\8e~wmg`]V\8e\85wmjd]V\92\85{qjd]Z\92\85~tmg]Z\92\85~tmg`]\95\88~wqjd]\95\88\81wqjd]\95\8b\81wqjg`\9c\8e\85{qjg`\9c\8e\85{tmj`\9c\8e\85~tmjd\9f\92\85~wqjd\9f\92\88~wmjd\9f\95\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wtmg\9f\92\8b\81{tmj\9f\95\8b\81{tmj¢\95\8e\85{tmj¢\98\8e\85{wqj¢\95\8e\85~wqj¢\98\8e\85~tmj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¥\98\8e\85~wqj¥\9c\92\85~wqj¥\98\8e\88\81wtj¥\9c\92\85\81wqj¥\9c\8e\85\81wqm¥\9c\92\88\81wqj¥\9c\8e\88\81{qj¥\9c\92\88\81{tm©\9c\95\88\81{tm©\9c\92\85\81wqj¥\9c\95\88\81wtm©\9c\92\88~{tm¥\9c\92\88\81wtm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tmä×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôîáÚÿûîä×ÐÆÀøçÚÐƼ¶¯ëÚÐù³¬¢äÓƼ¶¬¥\9fáÓƹ³©¢\9fáÐƹ³©¢\9cáÐù¯©\9f\9cÝÍù¯©\9f\9cÚʼ¹¯©\9f\98×Ƽ³©¢\9f\95Óƹ¯¥\9f\98\92Ðù¬¢\9f\95\8eÍÀ³¬\9f\98\92\8bʼ¯¥\9c\98\8e\88ƹ¯¥\9f\92\8e\85ƹ¬¢\98\92\8b\85ö©¢\98\8e\88\85ö©\9f\95\8e\85\85À³©\9f\92\8e\85\81À³©\9f\92\8b\85~¼¯¥\9c\92\88\85~¼¯¢\9c\8e\88\85~¹¯¢\98\92\88\81{¹¬¢\95\8b\85\81{¹¬\9f\95\8b\85~{¹©\9f\92\8b\85~w¹©\9f\95\88\85~w¶©\9f\92\88\81~w¹¥\9f\92\88\81{t¶¥\9f\92\88\81{t¶¥\9c\8e\88\81wt¶¥\9c\92\85\81{t³¥\9c\92\85\81wt³¢\9c\8e\85\81wq³¢\98\8e\85\81wq³¢\95\8e\85~wq³¢\98\8e\85~tq³¢\98\8b\85~wq³¢\95\8e\85~tq¯¢\95\8e\85~wq¯\9f\95\8b\85~tm¯\9f\95\8e\85~wq¯¢\92\8b\85~wq¬\9f\95\8b\85{tq¯\9f\92\8b\81~tq¯\9f\95\8b\85{tm¬\9f\95\8b\85{tm¯\9f\92\8b\81~wm¯\9f\95\88\85{tq¬\9f\92\88\85{tm¬\9f\95\88\81{tq¬\9f\95\88\81{tm¬\9f\92\8b\81{tm¬\9f\95\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\95\88\81{tm¬\9f\92\88\85{tm\92\85wqjd]VSIC96//("\1f\18\ e\v\ 4\ 4\0\ 1\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0"\e\15\ e\v\ 4\ 4\0?6/,"\1f\1f\18VMC<62/,d]SMC?96jdZSMF?<qg`VPIC?qj`VPMF?tj`ZSPICtjd]SPICwmg]VPMF{qj`ZSPI~tmd]SPM\81wmg`ZSM\85{qj`]VP\85~tjd]ZS\88\81wmg`]S\8b\81wqjd]V\8e\85{qjg`Z\8e\85{tjg`Z\92\88~tmj`]\92\88~wmjd]\95\8b\81wqjg`\95\8b\85wqjg`\98\8b\85{qjj`\9c\8e\85{tmj`\9c\8e\85~tqjd\9c\92\88~tqjd\9f\92\85~wmjg\9f\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\81{qmj\9f\92\8b\81{tmg\9f\95\8b\81{tmj\9f\98\8b\85{tmj¢\98\8b\85{tmj¢\95\8e\85{tqj¢\98\8e\85{tqj¢\95\8e\85{tqj¢\95\8e\85{tqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\98\8e\85~wqj¢\9c\92\85~wtj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9f\92\85\81wqj¥\9c\92\85~wtm¥\9c\92\88\81{tj¢\9f\92\88~{tj¥\9c\92\88\81wqj¥\9c\92\88\81{tm¥\9c\92\88~{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tmä×Íù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçá×ÿøîá×ÐƼñä×ÍƼ¶¬ç×Íù³¬¢áÓƼ³¬¥\9fÝÐù¯©¢\9cÚÍù¯©\9f\9cÚÐù¯©\9f\9cÚÊù¯©\9f\9c×ÊÀ¶¬¥\9f\95ÓƼ¶©¢\9c\92Óƹ³¥\9f\95\92Ðù¬¥\9f\95\8eͼ³©¢\9c\92\8eʼ³¥\9f\95\92\88ƹ¯¥\9f\95\8e\85ƹ¬¢\9c\92\8b\85ö©¢\98\8e\88\81À¶©\9f\95\8e\85\81À³¥\9f\92\8e\85~¼³¥\9f\92\8b\85~¼¬¢\9c\92\88\85~¹¬¢\9c\8e\88\81{¹¬\9f\95\8e\88\81{¹¬\9f\95\8e\88~w¹©\9f\95\8b\85~w¶©\9f\95\8b\85{w¶©\9f\92\8b\85{w¶¥\9f\92\88\85{t³¥\9c\92\88\81{t³¥\9c\92\88\81{t¯¥\9c\92\88\81{t¯¥\9c\8e\85\81{q¯¥\9c\92\85~wq¯¢\98\8e\85\81wt¯¢\9c\8e\85\81wq¯¥\98\8e\85~wq¬¢\95\8b\85~tq¬¢\95\8e\85~wq¬¢\98\8b\85~wq¬\9f\95\8e\85~wq¯¢\95\8b\85{tq¬\9f\95\8b\85{tq¬\9f\92\8b\85~tq¬\9f\95\8b\85~tq¬\9f\92\88\85{tq¬\9f\95\8b\81{tm¬\9f\92\8b\81~tm¬\9f\92\8b\85{tm¬\9f\95\8b\81{tm¬\9f\92\88\81{tm¬\9f\92\88\85{tm¬\9f\92\88\85{tm¬\9f\95\88\85{tm©\9f\92\88\81{tm¬\9f\92\88\81{tm©\9f\95\88\81{tm¬\9f\95\88\81{tm¬\9f\92\88\81{tm\8e\85wqj`ZVPI?92/,("\e\15\11\v\ 4\ 1\0\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 1\0<6/("\1f\e\15SMC<62/,d]SMC<96j`]SMF?<mg]VPFC?qj`ZPIF?qj`ZPMFCtjd]SPICtmg]SPPF{qj`ZSPI{tjd]VPI\81wmg]ZSP\85{qj`]VP\85~tjg`ZS\88~tmg`]V\8b\81wmj`]Z\8b\85wqjg`Z\8e\85{tjj`]\8e\88~tmj`]\92\88\81tmjd]\92\88\81wqjg]\95\88\81{qjg]\95\8b\85{tjg`\98\8e\85~tmj`\98\8e\85{tmjd\9c\8e\85~wqjd\9c\92\88~wqjd\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\95\88\81{qjg\9f\92\88\81{tjg\9f\95\8b\85{qmg\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\98\8b\85~tqj\9f\95\8e\85{tmj\9f\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wtj¥\9c\92\85~wqj¢\9c\92\88~wtj¢\9f\92\88\81wqj¥\9c\92\85~{qj¢\9c\8e\88~wqj¢\9c\92\88\81wqm¢\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81{qm¥\9c\92\88\81wtm¢\9f\92\88\81{tm¥\9c\8e\88\81wtmäÓÊù¯¬¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîÿÿÿûñçá×ÿøîá×ÐÆÀñäÝÐƼ¹¯çÚÐù³¬¥ÝÓƼ³¬¥\9fÝÐƹ³©¢\9cÝÐù¯©¢\9cÚÐù¯©¢\9cÚÍù¯¥\9f\98×Êö¬¥\9f\95ÓƼ¶¬¢\9f\92Ðƹ¯©\9f\9c\92Ðù¯¥\9f\95\8eÊÀ³©\9f\98\92\8bƹ¯¥\9f\95\8e\88ƹ¯¢\9c\92\8e\85ö¬¢\98\92\88\85À¶¬\9f\98\8e\88\81¼¶©\9f\98\8e\88\81¼³¥\9f\92\8b\85~¼¯¥\9f\92\88\85~¹¯¥\9c\92\8b\85~¹¯¢\98\92\88\81{¹¬\9f\98\8e\88\81{¶©\9f\95\8e\85\81w¶©\9f\95\8e\85~w³©\9f\95\8b\85~w\98\8b\85{qjg]VPI?<62/,"\1f\15\11\ e\v\ e\v\ 4\0\0\0\0\0\0\15\11\a\ 4\ 1\0\0\0,%\1f\e\15\ e\v\vC<6/,%"\1fVPF?96//d]SPF?96jd]SPFC<mg`VPMF?qj`ZPPFCqj`ZSMFCtjd]SPICwmg]VSMF{qj`ZSPI~tjd]VPM\81wmg]ZSP\85{qj`]ZS\85~tjd]ZS\88\81tmg`ZS\88\81wmj`]V\8b\85{qjd]V\8e\85{tjg]Z\8e\85~tmg`]\92\88\81tmj`]\92\88~wmjd]\92\8b\85wqjg`\95\8b\85{qjg`\95\8e\85{tjg`\98\8e\85{tmj`\9c\8e\85~tqjd×Íù¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþôîäÚÓþñäÚÐÆùëáÓƼ¹¯©ÝÓƼ³¬¥\9f×ÍÀ¹¯©\9f\95×ÊÀ¶¬¥\9f\98×ÊÀ¹¬¢\9f\95Óʼ¶¬¥\9f\95ÓƼ¶¬¢\9f\92ÓƼ³©¢\9c\92Íƹ¯¥\9f\95\92Êù¬¢\9f\95\8eƼ¶©\9f\98\92\8bü¯©\9f\98\8e\88ù¬¥\9c\92\8b\85À¹¬¢\98\92\8b\85¼¶©\9f\95\8e\88\81¼³©\9f\95\8e\85\81¼³¥\9f\92\8e\85\81¹¯¥\9c\92\8b\85~¹¯¢\9c\8e\8b\85~¹¯\9f\9c\8e\88\81{³¬\9f\98\8e\88\81{¶¬\9f\98\8b\85~{³©\9f\95\8b\85~w³©\9f\98\8b\85~w³©\9f\92\88\85{w\92\88\81wmj`]VPF?962,("\e\15\ e\v\a\ 4\a\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0,"\1f\18\11\ e\a\ 4C96/("\1f\eVPF?66/,d]PIC<96j`ZSIC?9mg]SPIC?qj]VPIF?qj`ZPPF?tj`ZSPICwjd]VPMF{qj`ZSPI{tj`]VPM\81wmj`ZSP\85{qj`]VP\85~qjg]ZS\88~wmg`]V\88\81wmj`]V\8b\85{qjd`Z\8e\85{tjg`Z\8e\85~tmg`]\92\88\81tqjd]\92\88\81wqjd]\92\8b\85wqjd]\95\8b\85{tjg`\95\8b\85{tmg`\95\8e\85{tmj`\98\8e\85{wmj`ÚÍù¯©\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøëäÚÓþôçÚÐÊÀ¹îáÓÊÀ¹¯©ÝÓÆÀ³¬¥\9f×Ðù¬¥\9f\98Óʼ¹¬¥\9f\95ÓÊÀ¹¬¥\9f\95Óʼ¶©¢\9f\95Óʼ¶¬¥\9c\95ÐƼ³©¢\9c\95Ðƹ¯¥\9f\98\92Êö¬¢\9f\95\8eÆÀ³©\9f\9c\92\8bƹ³©\9f\95\8e\88ù¬¢\9c\95\8e\85À¹¬¢\98\92\88\85¼¶©\9f\98\92\88\85¼³©\9f\95\8b\85\81¹³¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¹¯¥\9c\92\8b\85{¶¬¢\98\8e\88\81{¶¬¢\95\8e\85\81{¶¬\9f\98\8b\85~{³©\9f\98\8e\85~w³©\9f\95\88\85~{³©\9f\92\88\85{w¯¥\9f\92\88\85~t¯¥\9c\92\88\81{t¯¢\9c\92\88\81{t¬¢\9c\8e\88\81{q¬¢\9c\8e\85\81{q¬¢\95\8e\85~{q©¢\95\92\85~wq¬¢\98\8e\85~wq©¢\98\8e\85~wq¬\9f\95\8b\85~tq©¢\95\8e\85~wq©¢\95\8b\85{wq©\9f\95\8b\81{tq©\9f\95\8b\85~wq©\9f\95\8b\85{tq©\9f\95\8e\81{tm©\9f\95\8b\85{tm©\9f\95\8b\81{tq¥\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\8b\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\95\8b\81{tm©\9f\92\88\81wqm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88~{tm\88\81wmj`ZVPIC96//("\1f\18\ e\v\a\ 4\0\ 1\0\0\0\0\0\0\0\v\ 4\ 1\0\0\0\0\0"\1f\18\11\v\a\ 4\ 1<6/,("\1f\eSMC<96/,`ZSMC?96jd]SMFC<mg]ZPIF?qj`ZSMFCqj`ZPPFCtjd]SPICtmd]ZPIFwmg`ZSMF{qjdZVPI~wmg]ZSP\85{mj`ZSP\85{tj`]VS\85~wmg`ZS\88\81wqj`]V\8b\81wqjd]Z\8e\85{tjg`Z\8e\85~tjg`Z\92\85~tmj`]\92\88\81wqjd]\92\88\81wqjg]\95\8b\81{qjg`\95\8e\85{qmj`\98\8e\85~tjg`\98\8e\85~tmjd\9c\8e\85~tqjd\9c\92\85~wqjd\9c\92\88~wqjg\9c\92\88\81wtjg\9f\92\88\81wqmg\9f\95\8b\81{tmj\9f\95\8b\85{tmg\9f\92\8b\81{tmj\9f\95\8e\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tqj¢\95\8b\85~tqj¢\98\8e\85~tqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¥\98\8e\88~wqj¢\9c\8e\85~wqj¢\9c\92\85\81wtj¢\9c\92\85\81wqj¥\9c\92\85~wtm¢\9f\92\88~wqj¢\9c\92\88\81wtm¥\9c\92\85\81wtj¥\9f\8e\88\81wtm¥\9c\92\88\81{tm¥\9f\8e\88\81{tm¥\9c\8e\88\81wtmá×ÊÀ¹¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçá×ÿøîá×ÐÆÀñäÚÐƼ¶¬äÚÐù¯¬¢ÝÓƼ¶¬¥\9fÚÐƹ³©¢\9cÚÐù¯©¢\9cÚÍù³©¢\98×Íù¯¥\9f\98ÓÊÀ¶¬¢\9f\95ÓƼ³©¢\9c\92Íù¯¥\9f\98\8eÊö¬¢\9c\92\8eƼ³©\9f\95\92\88ƹ¯¥\9f\92\8e\88ù¬¢\9c\92\8b\85À¶¬¢\98\92\88\85¼³©\9f\98\8e\88\81¹³©\9f\92\8e\88\81¹¯¥\9c\92\8b\85~¹¯¥\9c\92\88\85~¹¬¢\98\92\88\85{¶¬¢\95\8e\88\81{³©¢\95\8e\88\81{³©\9f\95\8e\85~w³©\9f\95\8b\85~w³©\9f\92\8b\85~t³©\9f\92\88\85~t¯¥\9f\92\88\81{t¯¥\9c\92\88\81{t¬¥\9c\92\88\81{t¬¥\9c\8e\85\81{t¬¢\98\8e\85\81wt¬¢\9c\8e\85~wq¬¢\95\8e\85~wq¬¢\95\8e\85~wq¬¢\98\8b\85~wq¬\9f\95\8e\85{wq©\9f\95\8b\85{tm©\9f\95\8b\85{tm©\9f\95\8b\85{wm©\9f\92\8b\85{wm©\9f\95\88\85{tq©\9f\95\8b\85{wm©\9f\92\88\85{tm©\9f\92\88\85{tm©\9f\92\8b\81{tm©\9f\92\8b\81{tm©\9f\95\88\81{tm¥\9f\92\88\85{tm©\9f\95\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\85wtm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm\88\81wmg`]VPIC96//("\1f\18\11\v\a\ 4\ 4\ 4\0\0\0\0\0\0\0\a\ 4\ 1\0\0\0\0\0%\1f\18\11\v\a\ 4\ 1<6/,%\1f\1f\18SMC<62/,`ZPIC?96j`ZSMF?<mg]SPFC?qj`VPMF?mj`ZPMI?qjdZSPFCwj`]SPMFwmg]ZPPF{tjdZVPI\81tmg]VSP\85wqj`]VP\85{qjg]ZP\85~tmg]ZV\88\81wmj`]V\8b\85wqjd]Z\8e\85{tjd`]\8e\85{tmg`]\92\88~wmjd]\92\88\81wmjd]\95\8b\81wqjg]\98\8b\85{tjg`\92\8b\85{tmg`\95\8e\85{tmjd\98\8e\85~wqjd\9c\8e\85~tqjd\9c\8e\85~wmjg\9c\92\88~wqmg\9f\92\88\81{qmg\9f\92\88\81{tmj\9f\92\8b\81{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\92\88\85{tmg\9f\95\8e\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85~tqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~tqj\9f\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85~wtj¥\9c\92\88~wtj¢\9c\8e\85~wtm¥\9c\8e\85~wqj¢\9c\92\85~wqj¢\9c\92\88\81{qm¢\9c\8e\85\81wtj¢\9c\92\88\81wtm¥\9c\92\88~wtm¢\9c\92\85\81{tmáÓÊÀ¹¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþñëáÚÿøîä×ÍÆÀîäÚÐü¶¯äÚÍù³©¢ÚÓƼ³¬¢\9f×Ðù¯©\9f\9c×Ðù¯©\9f\9c×Íù¬©\9f\9c×ÍÀ¹¬¥\9f\95Óʼ¶©¥\9f\95Óƹ³©¢\9c\92Ðƹ¯¥\9f\95\92ÊÀ¶¬\9f\9f\92\8eƼ³©\9f\98\92\88ù¯¥\9c\98\8e\88À¹¬¢\9c\92\8b\85¼¶¬¢\98\92\8b\85¼¶©\9f\92\8e\88\85¼³¥\9f\92\8e\85\81¹³¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¹¯¢\9c\8e\88\85~¶¬¢\98\8e\88\81{¶¬\9f\98\8e\85~{³©\9f\98\8b\85~w³©\9f\95\8b\85~w³©\9f\95\8b\85{w¯¥\9f\92\88\85{t¯©\98\92\88\81{t¯¥\9c\92\88\85{q¬¢\98\8e\88\81{t¬¥\98\8e\85\81wt¬¢\95\92\85~wt¬¥\98\8e\85~wq¬¢\95\8e\85~wt¬¢\95\8e\85~wq¬¢\95\8e\85~wq©\9f\95\8e\85~wq©\9f\95\8e\85{tq©¢\92\8b\85~wq©\9f\92\8b\81{tq©\9f\92\8b\81{tq©\9f\92\8b\81~tm¥\9f\92\8b\85~tq¥\9f\95\8b\81{tm¥\9f\92\8b\81{tm¥\9f\95\8b\81{tm©\9f\92\8b\81~tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{qm¥\9f\92\88~{tm¥\9f\92\88\81wtm¥\9f\92\88~{tm\88\81tmg`ZVPF?92/,%"\e\15\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/("\1f\e\15SMC<6//(dZPIC<96j`ZPIC?9mg]SPIC<mg]VPIF?qj]ZPMF?tj`ZSPICtmg]SPMFwmg`ZPPF{tjdZSPM\81tmd]VSP\85wmg`]VP\85{qjd]ZP\88~tmg`]S\88\81wmg`]V\8b\85wqjg]Z\8b\85{qjg`Z\8e\85~tmg`]\92\85~wmj`]\92\88\81wmjg]\92\88\81wqjg]\95\88\81{qjg`\98\8b\85{tmj`\98\8e\85{tmjd\98\8e\85~tmjd\9c\8e\85~wqjd\9c\8e\88~wqjd\9c\92\88~wqmg\9f\92\88\81wqjg\9f\92\88~wqmg\9f\95\88\81wqmj\9f\92\88\81{tmg\9f\95\8b\81{tmg\9f\95\88\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\98\8e\85{tmj\9f\95\8e\85~tqj¢\98\8e\85~wmj¢\9c\8e\85{tmj¢\95\8e\85~tmj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\92\85~wqm¥\9c\8e\85~wtj¢\98\92\85~wqm¢\9c\92\85\81wtm¢\9c\8e\85~{tj¢\9c\92\88~wqj¢\98\92\88\81wqj¢\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81wtjáÓÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûîÿÿÿûñëá×þøîáÓÍƼîá×Íù³¬á×Êù³©¢ÚÐƼ³¬¢\9f×Ðù¯©\9f\9c×Íù¯©\9f\9c×Íù¯©¢\9c×Íù¬©\9f\98ÓÊÀ¹¬¥\9f\95ÓƼ³©¢\9c\92Íƹ¯¥\9f\95\92Êù¬¢\9f\95\8eƼ¶©\9f\98\8e\8bù¯¥\9f\95\8e\88À¹¬¢\9c\95\8b\85À¶¬¢\98\92\88\85¼¶©\9f\95\8e\85\85¹³©\9f\95\8b\85\81¹³¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¶¬¢\9c\8e\88\81{¶¬¢\98\8b\88\81{¶¬\9f\95\8b\88\81{³©\9f\98\8b\85~w³©\9f\92\8b\85~w³©\9f\92\88\85{w³¥\9f\92\88\85{t¯©\9c\92\85\85{t¯¥\9c\92\85\81{t¬¢\9c\92\85\81{t¬¢\9c\8e\85~wq¬¢\98\8e\85~wq©¢\98\8e\85~wq¬¢\98\8e\85~wq¬¢\98\8e\85~tq©¢\95\8b\85~tq©\9f\95\8b\85~tq©\9f\92\8b\85~tq©\9f\92\8b\81~tq©\9f\95\8b\85{tm©\9f\95\88\85~tm¥\9f\92\8b\85~tq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm©\9f\92\8b\81{qm¥\9f\92\8b\81{tm¥\9f\92\88\81{qj¥\9f\92\88\81{qm¥\9f\92\8b\81{qm¥\9f\8e\88\81{qm¥\9f\92\88\81{qm¥\9f\92\88~{tj¥\9f\92\88\81wqm¥\9f\92\88~wtj¥\9c\8e\88~{qm\88\81tmg`]VPF<92/,("\e\15\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\v\ 1\0\0\0\0\0\0\1f\1f\15\ e\v\ 4\ 1\096/("\1f\e\18SIC962/,`ZPIC<96j`ZPIF?9mg]SPIC<qg]VPIFCqj]ZPMF?qj`ZSPFCtj`ZVPMFwmg]ZSPF{tjd]VPM~tmg]ZSP\85wmj`]VP\85{qjd]ZS\85~tmj`ZV\88\81wqj`]V\8b\81wmjd]Z\8e\85wqjg`]\8e\85{tjg`]\8e\85~tmjd]\92\88~tmjg]\92\88~wqjg]\92\8b~wqjg`\92\8b\81wtjg`\98\8b\85{tmg`\95\8e\85~tmgd\98\92\85~tmj`\9c\8e\85~tmjd\9c\92\85~wqjg\9c\92\85~wqjd\9f\92\85~wqjg\9f\92\88\81wqmg\9f\92\88~{qmg\9f\95\88\81wtmj\9f\92\88\85{qmj\9f\95\88\81{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8e\85{tqj\9f\95\8b\85{tqj\9f\98\8b\85{tqj¢\95\8e\85~wmj¢\95\8b\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8b\85~wqj¢\98\8e\85~wtj¢\98\8e\88~wtj¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85\81{tm¢\9c\8e\85\81wqj¢\98\92\85\81wtj¢\9c\8e\85~{tm¢\9c\8e\85~wtm¢\9c\92\85\81wtm¢\9c\92\85~wtmáÓÆÀ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûîëá×þûîáÓÐÆÀîçÚÍù¶¬ä×Íù¯¬¢ÝÓƹ³¬¥\9fÚÐù¯©\9f\9c×Íù¬©¢\9c×Íù¯©\9f\9c×ÍÀ¹¬¥\9f\9cÓÊÀ¶¬¥\9f\95ÐƼ³©¢\98\92Ðƹ¯¥\9f\98\92ÊÀ¶¬¢\9f\92\8bÆÀ³©\9f\9c\8e\88ù¯¥\9f\95\8e\88À¹¬¢\98\95\8b\85¼¶¬¢\98\92\88\85¼¶©\9f\98\92\88\81¹³©\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\98\92\8b\85~¹¯¢\9c\8e\88~{¶¬¢\98\8e\85\81{³¬\9f\98\8b\88\81{³©\9f\98\8b\85~{³©\9f\92\8b\85~w¯©\9f\92\88\85{t\92\88\81wmjd]ZPIC962/("\1f\15\11\ e\v\ 4\a\ 4\0\0\0\0\0\0\15\11\v\ 4\ 1\0\0\0,(\1f\e\11\11\ e\vC<6/,("\1fVPF?96//d]SMC?96j`ZPPF?9mg]VPIF?mj`ZPIF?qj`VSMFCtjdZSMFCtjd]VPIF{mj]ZPPI{qj`ZSPM~tmg]ZPP\81wqj`]SP\85{tjd]VP\85~wmg`ZS\88\81wmg`]V\8b\81wqjd]V\8b\85{tjg`Z\8e\85~qmg`]\92\85~tmjd]\92\88~wmj`]\92\88\81wmjd]\92\88\81wqjd`\95\8b\85{qmg`\98\8e\85{qmg`\98\8e\85{tmj`ÓÍö¬©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôëáÚÐûîä×ÐÆÀ¹ëÝÓƼ¶¯¥ÚÓƼ³¬¢\9fÓÊÀ¹¬¥\9f\95ÓÆÀ¶¬¢\9f\95ÓÊÀ³©¢\9c\95ÓÆÀ¶¬¢\9c\92ÓƼ³©\9f\98\92Ðƹ¯©\9f\98\92Íù¬¥\9f\98\8eƼ¶©¢\9c\92\8bƼ³¥\9f\98\8e\88ƹ¯¥\9f\95\8e\88À¹¬¢\9c\92\8b\85¼¶©\9f\9c\92\88\85¼³©\9f\95\8b\88\81¹³¥\9f\92\8b\85~¹¯¥\9c\92\88\85~¹¯¢\9c\92\88\85{¶¬¢\9c\8e\88\81{¶¬¢\95\8e\88\81{¶©\9f\95\8b\85~w³©\9f\92\8b\85~w³©\9f\92\88\85{t¯¥\9f\92\88\85{t¯©\9f\92\88\81{t¯¥\9c\92\88\81{t¯¥\98\92\88\81{t¬¢\98\92\85~{t¬¢\98\8b\85~wq¬¢\98\8e\85~wq¬\9f\98\8e\85~wq¬\9f\95\8e\85~wq©\9f\98\8b\85~wq©¢\95\8b\85{tq¬\9f\95\8b\85{wm¬\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tq©\9f\92\88\81{wm©\9c\92\88\81{tm©\9f\92\8b\81{tm©\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wqm¥\9f\8e\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88~{tm¥\9c\8e\88\81wtj¥\9c\8e\88~wtm¥\9f\8e\88~wtj¥\9f\8e\88\81{tm¥\9c\92\88\81wqm¥\9f\92\85~wqj\88~tmg`ZSPF?62/,("\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/(%\1f\e\15PM?<6//(`ZPIC<96j`VPIF?9md]VPIF<mg]VPMF?qj]VPMF?qj`ZSMICtj`]SPICwmd]VPPI{qj`]VPM~wj`]VSM\81wmg`ZZP\85{qj`]ZP\85~qjg]ZS\88\81tmj`]V\8b\81wmj`]V\8b\81wqjg]Z\8b\85{tmg`]\8e\85~tmjd]\92\88~wqjg]\95\88~wqjg]\95\88\81{qjg`\95\8b\85{qmg`\95\8b\85{tmj`\98\8e\85{tmjd\98\8e\85{tmjd\9c\8e\85~wmjd\9c\92\85~wqmg\9f\92\85~wqjg\9c\92\85\81wqjg\9f\92\88~wtmg\9f\92\88\81wqmg\9f\95\88\81{qmg\9f\92\8b\85{tmg\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\98\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\98\8b\85~wmj\9f\95\8e\85{tqj\9f\98\8b\85{wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\88~wqm¢\9c\8e\85~wqj¢\98\8e\85~{tm¢\98\92\85\81wtj¢\9c\8e\85~wqjáÓʼ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîäÝÓþôëÝÓÍüîá×Êù¶¬áÓÊÀ¹¯©¢ÚÐƹ³¬¢\9f×Íù¯©\9f\9c×Ðù¯¥\9f\98×Íù¯©\9f\98×Êö¯¥\9f\95ÓÆÀ¶¬¥\9f\95Óƹ³©\9f\9c\92Íù¯¥\9f\95\8eÊÀ¶©¢\9c\95\8bƼ³©\9f\95\92\88ù¯¥\9f\95\8e\85ö¯¢\9c\92\8b\85¼¶¬\9f\98\8e\88\85¼³©\9f\95\8e\88\81¹¯©\9f\95\8e\85~¹¯¥\9c\92\8b\85~¹¯¢\9c\92\88\85{¹¬¢\95\8e\88\81{¶¬¢\95\8e\85\81w¶¬\9f\95\8e\85~w³©\9f\92\8b\85~w³¥\9f\92\8b\85~t³¥\9f\92\88\85{t¯¥\9c\92\88\85{t¯¥\9c\92\88\81{t¬¢\9c\8e\88\81{t¬¢\9c\8e\88~wq¬¢\9c\8e\85~wq¬¢\9c\8e\85~wq¬\9f\98\8b\85~wq©\9f\98\8b\85~wq©\9f\98\8b\85~wq©\9f\98\8b\85~wq©\9f\95\8b\85~wm©\9f\98\88\85{tm©\9f\95\8b\85{tq©\9f\92\8b\85{tm©\9f\95\88\85{tq©\9f\95\88\85{tm©\9f\92\88\85{tm¥\9f\92\88\85{tm©\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81{tj©\9f\92\88\81{tm¥\9f\92\88\81wtj¥\9f\92\88\81wqm¥\9c\92\88~wtj¥\9f\92\88\81wtj¥\9c\92\88\81wtm¥\9f\92\88~wqm¥\9f\92\88\81{qm¥\9c\92\85~wqj¥\9f\92\88\81wqj\88\81wmj]ZSPF?62/,(\1f\e\15\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\096/("\1f\e\15SMC962/(`ZPIC<96j`ZPMF?9mg]SPIC?mg]VPIC?qg`ZPMF?qj`ZSMFCtjd]VPMFwmg`VPPF{qj`ZSPM~tjg]ZPP\81wmj`]VP\85{tj`]ZS\88~tmg]ZS\88\81wmj`]V\8b\81wqjd]Z\8b\85{qjd`Z\8e\85{tmg`]\8e\85{tmg`]\92\85~wmj`]\92\88\81wqjd`\92\88\81wqjd]\95\88\81{qjg`\95\8b\85{tjj`\98\8e\85{tmj`\98\8e\85{tmjd\98\8e\85~tmjd\9c\8e\85~wqjd\9c\92\85~wqjg\9c\92\85~wqmg\9f\92\88~wqmg\9f\92\88\81wqjg\9f\95\88\81wqmg\9f\92\88\81wtmj\9f\95\88\85{tmj\9f\92\88\85{tqj\9f\95\88\85{tmj\9f\95\8b\85{tmj\9f\95\88\85{tqj\9f\98\88\85{wqj\9f\98\8b\85{tqj\9f\95\8b\85~wmj\9f\95\8b\85~wtj¢\95\8b\85~tqj¢\95\8e\85{tqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wtj¢\98\8e\85\81wtm¢\98\8e\85~wtj¢\9c\8e\85~wqj¢\98\8e\85~wtm¢\98\8e\85~wtjáÓƼ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëá×þøëá×ÐƼîáÓÍü¶¬ä×ÊÀ¹¯©¢ÝÐƹ³©¢\9f×Íù¯¥¢\9c×ÊÀ¹¯¥\9f\98×ÍÀ¶¯¥\9f\98Óʼ¶¬¥\9f\95ÓƼ³©¢\9f\92Ðù¯©\9f\9c\92Íù¬¥\9f\95\8eʼ³¬¢\98\95\8bƼ¯©\9f\98\92\88ù¯¢\9c\92\8e\85ö¬¢\9c\92\88\85¼³©\9f\95\92\88\81¼³©\9f\95\8e\85\81¹³¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¹¯¢\98\8e\88\85{¹¬\9f\98\8e\88\85{¶©\9f\95\8e\85\81{³©\9f\92\8b\85~{³©\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9f\92\8b\85~t¯¥\98\8e\88\81~t¬¢\9c\92\88\81{t¬¢\98\8e\88\81{t¬¢\98\8e\85~{q¬\9f\98\8b\85~wq¬¢\95\8e\85~wq¬\9f\95\8e\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85{wq©\9f\95\8b\85{wm©\9f\95\8b\85~wm©\9f\92\88\81{tm¥\9f\92\8b\81{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81wtm¥\9c\92\88\81wqm¥\9c\92\85\81{tj¥\9c\8e\85\81wqj¥\9c\92\85\81wtj¥\9c\92\85\81wqj¥\9f\8e\88\81wtj¥\9c\92\85~wqj¥\9c\92\85~wqm¥\9c\8e\85~wqm¥\9c\92\85~{qj\88\81wmg`ZSPF?92/,("\1f\15\ e\a\ 4\ 1\0\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 4\0<6/,%\1f\e\18SMC<66/,`ZSMC?96j`ZPMF?<md]SPMF?qj`VPMF?qj`VSMFCqjd]SPICtmd]SPIFwmj]ZSMF{qj`ZSPI~wmd]ZSP\81wqg`]SP\85{qjd]ZP\85~tjg]ZS\88\81wmj`]V\88\81wmjd]V\8b\85{qjd]Z\8e\85{qjg`Z\8e\85~tjg`Z\8e\85\81tmj`]\92\88\81wmjd]\92\88\81wqjd]\95\8b\81wqjg`\95\8e\85{qmg`\95\8b\85{tmg`×ÊÀ¶¯¥\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûñëÿÿþôçá×ÓûîäÚÍƼ¹çÝÐƼ¶¬¥ÚÐƹ³©¢\9cÓÊù¬¥\9f\95ÓÆÀ³¬¢\9c\95ÓƼ³©¢\9c\92ÓƼ³©¥\9c\95Ðƹ³©¢\98\95Íƹ³©\9f\98\92Êù¬¢\9f\95\92Ƽ³¬¢\9c\92\8bƼ¯©\9f\98\8e\88ù¯¢\9c\95\8b\85À¶©¢\9c\92\8b\85¼¶©\9f\95\92\88\81¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\8e\8e\85~¶¬¢\9c\8e\88\85~¶¬\9f\9c\8b\88\81{¶©\9f\95\8e\88\81{³¬\9f\95\8b\85~w³©\9f\95\8b\85~w¯©\9c\92\88\85{w¯¥\9c\92\88\85{t¯¥\9c\92\88\81{t\92\88~wmg`]VPF?92/,(\1f\e\11\ e\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\11\v\a\ 4C92,("\1f\eSMC<62/,`VPIC<62j`VPFC?9j`ZSMF?<mg]SPIC?mg]SPIF?qj]ZPMFCqj`ZSPICwmg]ZSMF{qj`ZSPI~tjd]VPP\81wmg]]SP\85{qjd]VP\85~qjd]ZS\88\81tmg`]V\88\81wmj`]V\8b\85wqjg`Z\8b\85{qjg`Z\8e\85{tmj`]\8e\85~tqgd]\92\88\81tmjg`\92\88\81wqjg`\92\88\81{qjj`\92\8b\81wqmj`\98\8e\81{tmj`\98\8e\85{tmjd\9c\8e\85~wmjd\9c\8e\85~wqjd\9c\8e\85~tqjd\9c\8e\85~wqjd\9f\92\85~wmjg\9c\92\88\81wqjg\9f\92\85\81wtmg\9f\92\88\81wtmg\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\95\88\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\88\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85~tqj\9f\98\8b\85{wmj\9f\98\8b\85{wqj¢\95\8b\85{wqj¢\98\8b\85~ttj¢\98\8b\85{wqj\9f\95\8b\85~wqj¢\95\8b\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\98\8e\85~wtjÝÓÆÀ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîçÝÓþñçÝÓÐƼîáÓÊù³¬áÓÊÀ¹¯©\9f×Ðù³¬¢\9c×ÊÀ¹¯©\9f\9c×ÍÀ¹¯¥\9f\98×Êö¬¥\9f\98Óʼ¹¬¥\9f\95ÓƼ³¬¥\9f\92Ðƹ³©¢\9c\92Íù¬¥\9f\95\8eÆÀ³¬\9f\9c\95\88ƹ³©\9f\98\92\88ù¬¥\9f\92\8e\85À¹¬¢\9c\92\8b\85¼³©\9f\98\92\88\81¹³©\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85{¹¬¢\95\92\88\85{¶¬¢\98\8e\85\81{¶©\9f\95\8e\85\81w³©\9f\95\8b\85\81w³©\9f\92\8b\85~w¯©\9f\92\8b\85~w¯¥\9c\92\88\85{w\92\88\81tmjd]VPF?96//("\e\11\ e\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,%\1f\18\11\ e\v\ 4?96/(%\1f\1fSPF<96//`ZSMC?96j`ZPIF?9mg]SPIC?mg]VPMF?qj`VPMFCqj`ZSPICtjg]VPPFwmg]ZSPI{tjdZVPI~wmg]VSM\81wmg`ZVP\85{tjd]VP\85{tjg`ZP\88~tmg`]V\88\81wmjd]V\8b\81{qjg`Z\8e\85{qjg`Z\8b\85~tjg`]\92\85~tmj`]\92\88~wmj`]\92\88\81wqjd]\92\8b\85wqjd]\95\8b\85{qmg`\98\8b\85{tmg`ÓÊÀ¹¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôçäÓÓûîäÚÐƼ¹çÝÐƼ¶¬¥ÚÓƹ³¬¢\9fÓʼ¶¬¥\9f\95ÓƼ³©¢\98\95Óƹ³©¢\9c\95Ðƹ³©\9f\98\92Íƹ³©¢\98\92Íƹ³¥\9f\98\92Êö¬¢\9f\95\8eƼ³¬\9f\9c\92\8bƼ³¥\9f\98\8e\88ù¬¢\9c\92\8b\85¼¶¬¢\95\92\88\85¼³©\9f\98\8e\85\85¹¯¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¬¢\9c\92\8b\81~¶¬¢\95\8e\88\81~¶¬\9f\98\8e\88\81{³¬\9f\95\8b\88~w³¬\9f\95\8b\85{w³¥\9f\92\8b\85{w¯¥\9f\92\88\85{w¯¥\9c\95\88\85{w¯¥\9c\92\88\85{t¬¥\98\92\85\81{t¬¥\98\92\85\81wt¬¢\95\8e\85\81wq¬¢\95\8e\85~wq©¢\95\8e\85\81wq©\9f\95\8e\81~wq©\9f\95\8b\85~tq¥\9f\92\88\81{tm©\9f\92\8b\81{tm¥\9f\92\88\85{tm©\9f\92\8b\85{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9f\8e\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88~{qj¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9f\8e\85\81{tj¥\9c\8e\88~{tm¥\9c\8e\88~{qm¢\9f\8e\88~wqm¥\9c\8e\88~{qj¢\9f\8e\85\81wqm¢\9f\8e\88~wqm¢\9c\8e\85~wqm¢\9c\8e\85~{qm¢\9c\8e\85~{qj\85~tmg`ZVPF<62/,(\1f\e\15\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0"\18\11\v\a\ 4\ 1\0<2/(%\1f\e\15PIC96/,(`VPFC<62j`VPIF?9jd]SPFC<mg]VPMC?mg]VPIF?qj]VPPFCtj`]SPICwmg]VPMF{qj`ZSPF~tjd]VSM~wmg]ZSP\85{mj`]VP\85{qjd]ZS\88~tjg`ZS\88\81wmj`]Z\88\81wmjd]Z\8b\85{qjg`Z\8e\85{tjg`]\92\88{tmg`]\92\88~tmjd]\92\88\81wmjd]\95\8b\81wqjg`\92\8b\81{qmj`\95\8b\81{tmg`\95\8b\85{tmjd\95\8e\85{tmjd\98\8e\85~wqjg\9c\8e\85~wqjg\98\92\85~wqjg\9c\92\85~wqmg\9c\92\85\81wqmg\9f\92\85\81wqmg\9f\92\88\81wtmj\9f\92\88\81wtmg\9f\92\88\81{tmj\9f\92\88\81{tmg\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85~tmj\9f\95\88\85{wmj\9f\98\8b\85{wqj\9f\95\8e\85{wqj\9f\95\8b\85~wqj\9f\95\8e\85~tmj\9f\95\8e\85{tqj\9f\98\8b\85~wqj\9f\98\8b\85~wqj\9f\9c\8e\85~wqj\9f\98\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wtjáÓƼ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþûîçÝ×þôçáÓÍüîáÓÍü³¬á×ÆÀ¹¯©¢ÚÍù¯¬¢\9f×ÊÀ¹¯©\9f\9cÓÊÀ¹¬©\9f\95ÓÆÀ¹¬¥\9f\98Óʼ¶©¥\9f\95Ðƹ³©¢\9c\95Íƹ¯¥\9f\9c\92Íö¬¢\9f\95\92Ƽ³©\9f\9c\92\8bƼ¯¥\9f\95\92\88ù¯¥\9c\95\8b\85¼¶¬¢\98\92\88\85¼¶©\9f\95\92\88\85¹³¥\9f\92\8e\85\81¹³¥\9f\92\8b\85~¹¯¢\9c\8e\88\85~¶¬¢\98\8e\88\81~¶¬¢\98\8e\88\81{³¬\9f\95\8b\88~w³©\9f\95\8b\85~w³©\9f\92\88\85{w¯¥\9c\92\88\85{t¯¥\9c\92\85\85{t¬¢\9c\92\88\81{t¬¥\95\92\85\85{t¬¢\98\8e\85\81wt¬¢\95\8e\85~wt¬¢\95\8e\85~wq©\9f\95\8e\85~wq©\9f\95\8b\85~tm©\9f\92\8b\85~wm©\9f\92\8b\81~tm©\9f\92\8b\85{tm¥\9f\92\88\81{tq¥\9f\92\88\81{tm¥\9f\92\8b\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tq¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88~{qm¥\9f\8e\88~{tm¥\9c\92\88~wqm¢\9c\92\85~wqj¥\9c\92\85~{qm¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9f\8e\85~wmj¢\9c\8e\88~wqj¢\9f\8e\85~wqj¢\9c\92\88~wqj¢\9c\8e\88~wmj\85~tjg]VSPF<62/,%\1f\e\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\15\ e\a\ 4\ 1\092/("\1f\e\15PI?96//(`ZPIC<66j`VPIC<9md]SPIC<mg]VPIC?mg]VPIC?qj`VPMFCqj`ZSPICwmg]VPMF{qj`ZSPI~tmd]VSP\81wmg`ZSP\81{qj`]VP\85{tjg`ZS\88~tmj`]S\88\81wqj`]Z\8b\81{qjd]Z\8b\85{qjg]Z\8e\85{tmg`]\8e\85~tmj`]\92\88~wmjd]\92\88~wqjd]\92\88\81wqjg`\92\8b\81{qmg`\95\8b\85{tmj`\95\8b\85{tqjd\98\8e\85~tmjd\95\8e\85{tmjd\9c\92\85~tqjg\98\8e\85~wqjg\9c\92\88~wqjg\9f\92\88\81wqjg\9c\92\88~wqmg\9f\92\88\81wtmg\9f\92\88\81wtmg\9f\95\88\81{tmj\9f\92\88\85{tmj\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85~wmj\9f\95\8b\85~wqj\9f\95\8b\85~wmj\9f\98\8b\85~wqj\9f\98\8b\85~wqj\9f\98\8b\85~wtj¢\95\8b\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wqj¢\95\8e\85~wtj¢\98\8e\85~wqj¢\98\8b\85~wtj¢\95\8b\85~wqmÝÓƼ¶¬¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓûñäÝÓÊƹîáÓÊù³¬áÓÆÀ¹³©\9f×Ðù¯©¢\9c×ÊÀ¹¯©\9f\98ÓÊÀ¹¬¥\9f\98ÓÊÀ¶¬¥\9f\98Óʼ¶¬¥\9f\95ÓƼ³©¢\9f\92Ðù¯¥\9f\9c\92ÍÀ¶¬¢\9f\98\8eƼ³©¢\9c\92\88ƹ³©\9f\98\8e\85À¹¬¢\9c\92\8e\85À¶©¢\9c\92\88\85¼³©\9f\95\8e\88\81¹¯¥\9f\92\8b\85~¹¯¢\9c\95\8b\85~¹¬¢\9c\92\8b\85{¹¬\9f\98\8e\88\85{¶©\9f\95\8e\85\85{³©\9f\95\8b\85\81w³©\9f\92\88\85~w³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¬¥\9c\92\88\85{t¯¢\9c\92\88\81{q¬¢\98\8e\88\81{t¬¢\95\8e\85\81wq¬¢\95\8b\85~wq¬¢\95\8b\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85~wq©\9f\92\8b\85~wm©\9f\92\8b\85{tm©\9f\92\8b\85{tm©\9f\92\88\85{tq¥\9f\92\88\85{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9c\92\88\81{tj¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\85\81{qj¥\9c\92\88\81{tj¥\9f\92\88\81{tj¥\9c\92\88\81wqm¥\9c\92\85\81wtm¥\9c\92\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\92\85~wqj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj\85~tmg]ZSPF<62,,%"\e\15\ e\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\e\15\ e\a\ 4\ 4\092/("\1f\e\15PIC96//(]VPIC<92g]ZSIF?9jd]SPIC?mg]SPIC?mg]VPIC?mj`ZSPFCqjdZSPICwmg]VSMFwqj`ZSPI~tjd]ZPM\81tmg`ZVP\85{qj`]ZS\85{tjg`ZS\85~wmj`ZS\88\81wqjd]V\88\81wmjd]Z\8b\85{tjg`Z\8e\85{qjg`Z\8e\85~tmjd]\8e\85~wmjd]\92\88\81wmjd]\92\88\81wqjg`\92\88\81{qjg]\95\8b\81{tjj`\95\8b\85{tmj`\98\8e\85{tmj`\98\8e\85~tmjd\98\8e\85~tqjg\98\92\85~tqjd\9c\92\85~wqjg\9f\8e\88~wqjg\9c\92\88~wqjg\9c\92\88~wqjg\9f\92\88\81wtjg\9f\92\88\81wtmg\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\88\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{wmj\9f\98\8b\85{tqj\9f\95\8b\85{wmj\9f\95\8e\85{wqj\9f\95\8b\85{wqj\9f\95\8e\85~wqj\9f\98\8b\85~wqj\9f\95\8e\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wqj\9f\95\8e\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqjÝÓƼ¶¬¥¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿøîÿÿÿøîçÝÓþôëáÓÍüîäÓÊù³¬áÓʼ¶¯©¢×Ðù¯©¢\9cÓÊÀ¹¬¥\9f\98×ÊÀ¶¬¥\9f\95ÓÊÀ¶¯¥\9f\95ÓƼ¶¬¥\9f\95Óƹ³©¢\9c\92Ðù¯¥\9f\95\92ÊÀ¶¯¥\9f\92\8eƼ³©¢\98\92\8bƹ¯¥\9f\95\8e\88À¹¬¢\9f\92\8b\85¼¶¬\9f\9c\92\8b\81¼³©\9f\95\8e\88\81¼¯¥\9c\95\8e\85\81¹¯¢\9c\92\8b\85~¹¬¢\98\92\88\85{¶¬\9f\98\8e\88\85{¶©\9f\98\8e\88\81{³©\9f\95\8e\85\81w³¥\9f\92\8b\85~w³¥\9f\95\88\85~w¯¥\9c\92\88\85~w¯¥\9c\8e\88\81{t\92\85~tqjd]VPF?96//("\1f\15\11\ e\v\a\v\ 4\0\0\0\0\0\0\15\ e\v\ 4\ 1\0\0\0,%\1f\18\11\ e\v\aC92,,%"\1fSPC<62/,dZPIC?66j]VPIC?9mdZSPFC<jd]SPIF?mj]VPIC?mj]ZPMI?tj`ZSPICwmd]VPPF{qj]ZSPF~tj`]VPI~tmj`ZSP\81wmjd]VP\85{qjd]ZP\88~tmg`ZS\88~tmg`]V\8b\81wmj`]Z\8b\85wqjg]Z\8e\85{tjg`Z\8e\85{tmj`]\8e\88~tmjd]\92\85~tqjd]\92\88\81wqjg]\92\8b\81wqjg`\95\8b\85{tmj`Óʼ¶¬¢\9f\9cÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñëÿÿûñçá×Ðøîá×ÍƼ¹äÚÍƹ¶¬¥ÚÍù¯¬¢\9cÓƼ¶¬¢\9f\95Ðƹ³©¢\9c\92Ðù³©¢\9c\92Ðƹ¯©¢\9c\92Íù¯¥\9f\95\92Íö¯¥\9f\95\8eÊÀ¶¬¢\9c\92\8eƼ¯©¢\9c\92\88ù¯¥\9f\95\8e\88À¹¬¢\9c\92\8b\85À¶©\9f\95\92\88\85¼³¥\9f\95\8e\85\85¹¯¥\9f\92\8e\85~¹¯¢\9c\92\8e\85~¶¬¢\9c\8e\88\85{¶¬\9f\98\8e\88\81{³¬\9f\98\8b\85~w³©\9f\95\8b\85~w¯©\9c\92\8b\85~w¯¥\9f\92\88\85~t¯¥\9c\92\88\85{t¬¢\9c\92\88\81{t¬¥\98\8e\88\81{t\8e\85{wmj`]SPC<66/,(\1f\e\11\ e\v\a\ 1\ 4\0\0\0\0\0\0\0\ e\v\ 4\0\0\0\0\0("\e\15\ e\v\ 4\ 4<62,("\1f\ePMC<62/,`VPFC<96g`VPFC?9jd]SIFC<mg]SPFC?mg]VPMF?qj`ZPPFCtjd]SPICwmg]VSMFwqj`ZSPM~tjd]VSP\81wmg`ZSP\85{mjd]ZS\85{tjd]ZS\85~tjg`]S\88\81wmj`]Z\88\81wqjd]V\8b\85{qjg]Z\8e\85{tjg`Z\8e\85~tmj`]\8e\85~tmjd]\92\88\81wmjd]\92\88\81wqjg`\92\88\81wqjg`\95\8b\85wtjg`ÓÆÀ¶¬¥\9f\9fÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçÝÓÐøîá×ÍƼ¹äÚÐƹ¶¬¥ÚÐù³¬¢\9cÓʼ³¬¥\9c\95Óƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ¯¥\9f\98\92Íù¯¥\9f\95\92ÊÀ³¬¢\9c\92\8bƼ³©¢\98\92\8bù¯©\9f\98\8e\88À¹¬¢\9c\92\8b\85¼¶©\9f\98\92\88\85¹¯¥\9f\95\8e\88\85¹¯¥\9f\92\8e\85~¹¯¢\98\92\8b\85~¶¬¢\9c\92\88\81{¶¬\9f\9c\8e\88\81{³©\9f\92\8b\85~{¯©\9f\92\8b\85~w¯¥\9f\92\88\85{w¯¥\9c\92\88\85~t¬¥\9c\8e\85\85{t¬¥\98\92\85\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81wt¬¢\98\8e\85\81wq¬¢\95\8b\85\81tq¬¢\92\8b\85~tq©\9f\92\8b\85~tq©\9f\92\8b\85~tq©\9f\92\88\81{tm©\9f\92\8b\81{tq©\9f\8e\88\85{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88~{qm¥\9f\8e\88~{qm¥\9f\92\88\81wtm¢\9c\8e\88~{qm¢\9c\8e\88~wtm¢\9c\8e\85~{qm¢\9c\8e\88~wqm¢\9f\8e\88~wqm¥\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~{qj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85{wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85{wqj\85~qmd`ZSMF<92/,("\e\15\ e\v\ 4\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\15\ e\v\ 4\ 1\092,("\1f\e\15PI?96/,(]VPF?<92j`VPIC?9j`ZSMIC<mg]VPIC?mg]VPMF?qg]VPMF?qj`ZSPI?tmdZSPIF{qj`ZSPI{tj`]SPM~wjg]ZSP\81wqj`]VP\85{qjd]ZS\85{tjg`ZS\88~tmg`]V\88\81wmj`]Z\8b\85wqjd]Z\8b\85{qjg`Z\8e\85{tjg`Z\8e\88~tmj`]\8e\85~wmjd]\92\88~wmjg]\95\88\81wqjg]\92\88\81{qjg`\92\8b\81{tmj`\95\8b\81{tmj`\95\8e\85{tmjd\98\8e\85~tqjd\98\8e\85~wqjg\98\8e\85~wqjg\98\8e\88~wtjd\9c\92\85~tqmg\9c\92\85\81{tmg\9c\92\88\81wqjg\9c\92\88~wqmj\9f\92\88\81wtmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\8b\81{tmj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\92\88\81{tmj\9f\95\88\85{tmj\9f\95\8b\85{tmj\9f\95\88\85{wmj\9f\95\88\85{tqj\9f\95\8b\81{wqj\9f\92\8b\85~tqj\9f\95\8e\85{wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wmj\9f\98\8e\85~wqj\9f\95\8b\85~wqjÝÓƼ¶¬¥¹ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþûîçÝÓþôçÝÓÍüîáÓÊù³¬ÝÓÆÀ¶¯©\9f×Íù¯©¢\9cÓÍÀ¶¬¥\9f\95ÓÊÀ¶¬¥\9f\98Óʼ¶¬¥\9f\95Óƹ³¬¥\9f\92Ðƹ³©¢\9c\92Íù¯¥\9f\98\8eÊÀ³¬¢\9c\92\8bƹ¯©\9f\9c\8e\8bÀ¹¬¥\9f\95\8e\88À¶©¢\98\92\8b\85¼³©\9f\95\92\88\85¹¯¥\9f\92\8e\85\81¹¯¢\9f\92\8b\85\81¹¬¢\9c\92\8b\85~¹¬¢\98\8e\88\81~¶¬\9f\95\8e\88\81{³©\9f\95\8e\85\81w³©\9f\92\8b\85~w¯©\9f\92\88\85{w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¬¥\9c\8e\85\81{t¬¢\98\8e\85\81wq¬¢\98\8e\85~wq©¢\95\8e\85~wq©¢\95\8b\85~tq©\9f\95\8b\85~tq©\9f\92\8b\85~wq©\9f\92\8b\81{tq¥\9f\92\8b\85~tm¥\9f\92\88\81~tq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¢\9f\92\88\81{qm¥\9f\92\88\81wtm¥\9c\92\88\81wqm¥\9c\8e\88~wqm¢\9f\8e\88~{qj¥\9c\8e\85~wqm¢\9f\8e\88~{qm¢\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wqm¢\9c\8e\85~{qj¢\98\8e\85~{qj¢\9c\8e\85~wtj¢\9c\8e\85~wqj¢\95\8e\85~wqj\9f\98\8b\85{wqj¢\9c\8e\85{wmj¢\9c\8e\85{wmj\9f\98\8e\85{wmj¢\9c\8e\85~wqj\85~tmd`ZSMF<62/,(\1f\e\11\v\ e\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\a\ 4\0\092,%"\1f\18\15PI?96/,(]VPFC<62g]SPIC<9jdZSMIC<md]SPIC?jg]VPIF?mg]VPMF?qj`ZSPICtjd]SPMFwmg`ZSPF~qj`]VPM~tmg]ZSP\81wmj`]SP\85{tjd]SP\85~tjg`ZS\88~wmg`]S\88\81wmjd]V\8b\85{qjd]Z\8e\85{qmg]Z\8e\85{tmg`]\92\85~tmgd]\92\88~wqjd]\92\88~wqjg]\92\88\81{qjg]\92\8b\85wqjj`\95\8b\85{qmj`\98\8b\85{tmjd\98\8b\85{tmjd\98\8e\85{tqjd\9c\8e\85{wqjg\98\8e\85~wqjd\9c\8e\85~wqjg\9c\92\85~wqjg\9c\92\88~wqjg\9c\92\88~wqjg\9c\92\88\81wqjg\9c\92\88\81{tmg\9f\92\88\81wqmj\9f\92\8b\81{tmg\9f\92\8b\81{tmj\9f\92\88\81wtmj\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\88\85{tmj\9f\95\88\85{wqj\9f\95\8b\81{tmj\9f\95\8b\85{tqj\9f\98\8b\85~tmj\9f\95\8b\85{tmj\9f\95\8b\85~wmj\9f\95\8b\85~wqj\9f\95\8b\85{tqj\9f\95\8e\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqqÝÓƼ³¬¥ÊÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîçÝÓûñçÝÓÍüëÝÓÊÀ¹¯©ÝÓƼ¶¬¥\9f×ÊÀ¹¯©\9f\98Óʼ¶¬¥\9f\98Óʼ¶¬¥\9f\95Óƹ¶¬¢\9f\98Ðƹ¶©¢\9c\92Ðƹ³©\9f\9c\92Íƹ¯¥\9f\98\92ÊÀ³¬¢\9f\95\8eƹ¯©\9f\98\92\8bù¬¥\9f\95\8b\85À¹¬¢\9c\92\8b\85¼¶©\9f\98\8e\88\85¹³¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85~¹¯¢\9c\92\8b\85~¶¬¢\95\8e\88\81{¶¬\9f\95\8e\88\81{³©\9f\95\8b\88~{³©\9f\95\88\85~w¯¥\9f\92\88\85~w¯¥\98\92\88\85~t¯¥\9c\92\88\85{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81{q©\9f\98\8e\85\81wq©\9f\92\8b\85~wq©\9f\95\8b\85~tq©\9f\92\8b\85~wq¥\9f\92\8b\81{tm¥\9f\92\88\81{wm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9c\92\88\81{tm¥\9f\92\88~{tm¥\9c\8e\88\81{tm¥\9c\8e\88~{tm¥\9c\92\88~{qm¥\98\8e\88\81wtm¢\9c\8e\85~{qj¢\9c\8e\85~{qm¢\9c\8e\85~wqm¢\9c\8e\85~{qm¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~{qj¢\9c\8e\88~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wmj¢\98\8b\85~wqj¢\9c\8b\85{wqj¢\98\8e\85~wqj\9f\95\8b\85~wqj¢\98\8b\85~wqj¢\9c\8e\85{wqj\85~qjd]ZSMF<6//((\1f\18\ e\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\a\ 4\ 1\092,("\1f\18\15PF?92/,(]VMF?962j]VPIC<9j`ZSMFC<jd]SMIF?mg]VPIF?qj]VPMF?qj`ZSPFCtjd]VPMF{mg]ZSPI{qj`ZVPM~tjg]ZSP\81wqg`ZVP\85{qjd]ZP\85~tmg`]S\85~tmj`]V\88\81wqjd]Z\8b\81wqjd]Z\8b\85{qjg`]\8e\85~tmj`]\8e\85~tmj`]\8e\85~wmj`]\92\88~wqjg`\92\88\81wqjg`\92\88\81wqmgqÓƼ³¬¢\9fÐÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçÝÓÍøîáÓÍƼ¹äÚÍü³¬¥ÓÐù¯©¢\9cÓƹ³©¥\9c\95Ðƹ¯¥¢\98\92Ðƹ¯¥¢\9c\92Íƹ¯¥¢\9c\92Íƹ¯¥\9f\98\92Íù¬¥\9f\95\8eÆÀ³¬¢\9c\92\8bƼ³©\9f\98\92\88ù¯¢\9f\95\8e\85À¶¬¢\9c\92\88\85¼³©\9f\95\92\88\85¼³¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85~¶¬¢\9c\92\88\85~¶¬\9f\98\8e\88\85{³©\9f\98\8b\88\81{³©\9f\95\8b\85~{¯©\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9c\92\88\81{t¬¢\9c\8e\88\81{t¬¢\98\92\88\81{t¬¢\98\8e\85\81wt\8e\85{tjj`]SPF?96//("\e\15\11\v\v\ 4\a\ 4\0\0\0\0\0\0\15\v\ 4\ 1\0\0\0\0("\e\15\11\ e\a\ 4?9/,("\1f\ePIC962/,`VPFC962g]VPFC<9j`ZPMF?9jdZSMFC<md]SPIC<mg]VPMF?qj`ZPPICtjd]VPIFwmg]ZSPI{tj`]VPI~tmg]ZSP\81wqj`ZVP\85wqj`]ZS\85{qjg`ZS\85~tmg`ZV\88\81wmjd]V\8b\81wmjd]Z\8b\85{qjg]Z\8e\85{qjg`]\8e\85~tmj`]\92\85~tmjg]\92\85~wqjd`\92\88~wqjg`\95\88\81wqjg{Óƹ³¬¢\9c×ÿÿÿÿÿÿûûÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûîäÝÓÊôëÝÓÊƹ¶ä×Íù³¬¥×ÊÀ¹¯©\9f\9cÐƼ³©¢\9c\95Íƹ¯¥\9f\95\92Íù¯¥\9f\98\92Íù¯¥\9f\98\92Íù¯¥\9f\95\92Êö¯¥\9f\98\8eÊÀ³¬¢\9c\92\8eƼ³©\9f\98\92\8bù¯¥\9f\98\8e\88ö¬¢\9c\92\8b\85¼¶©\9f\98\8e\88\81¼³¥\9f\92\8e\85\81¹¯¢\9c\92\8e\85\81¹¯¢\9c\92\8b\85{¶¬\9f\98\8e\88\81{³©\9f\95\8b\85~{³©\9f\95\8b\85~w¯¥\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¢\98\92\88\81{w¯¥\9c\8e\88\85{t¬¢\98\8e\85\81{t¬¢\95\8e\85\81wt\8e\85{tjg`]PMC<66/,(\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0(\1f\e\15\ e\v\a\ 4?9/,%"\1f\eSM?<62/,]VPF?<66g]SPFC?9j`ZPMFC<jdZSMFC<md]VPMC?mg]VPPFCqj`ZSMICtjd]VPMFwmg]ZSPI{qjd]VSM~tmd]ZSP\81wmj`]VP\85{qjd]ZS\85~qjg]ZV\85~tjg`]V\88\81wmgd]V\88\81wmjg]Z\8b\85{qjg`Z\8b\85{qjg`Z\8e\85{tjjd]\8e\85~tmjd]\92\88~wqjg]\92\88~wqjg`\92\8b\81wqjg\85ÓƼ³¬¥\9fäÿÿÿÿÿÿþþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçÝÓÍøîá×ÍƼ¶ä×Íƹ³¬¥×Íù¯©¢\9cÓƹ³©¢\9f\98Ðƹ¯©\9f\9c\92Ðƹ¯©\9f\9c\92Íƹ¯©¢\9c\92Íƹ¯¥\9f\98\92Êö¬¢\9f\98\8eʼ¶¬¢\9c\92\8eƼ¯©\9f\98\8e\88ù¬¥\9f\95\8e\85À¹¬¢\9c\92\8b\85¼³©\9f\98\92\88\85¹¯¥\9f\92\8b\85\81¹¯¥\9c\92\8b\85~¹¬¢\98\92\8b\85~¶¬\9f\95\8e\88\85{³©\9f\95\8b\85\81{¯©\9f\95\8b\85\81w¯¥\9f\95\8b\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\85~t¬¢\9c\8e\88\81{t¬¢\98\8e\85\85{t¬\9f\98\8e\85\81wq\8e\85{tmg`ZSMC<62/,%\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\18\15\ e\v\ 4\ 4<6/,%"\1f\ePI?<62/,]VPF?<66g]SPFC<9j`VPIC?<j`ZSPF?<mdZSPIC?mg]VPIF?qg`ZPMFCtjd]SPIFwmg]VSPI{qj`]VPP~tjd]ZSP\81wjj]]SP\81{qjd]VS\85{tjd]ZV\88~wmg`]V\88\81wmjd]V\88\81wqjd]]\8b\81wqjg`]\8e\85{tjj`]\8e\85~tmjd]\8e\85~tmjd`\92\85~tqjg`\92\88~wqjg`\92\88\81wqjg\88Ðƹ³©¢\9cëÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿøîäÝÓÍøîáÓÍƼ¶ä×Íù³¬¢×Êù¯©¢\9cÓƼ³©¢\9c\92Ðù¯©¢\9c\92Ðƹ¯©\9f\9c\92Ðù¯©\9f\9c\92Íù¯¥\9f\98\8eÍÀ¶¬¥\9f\98\8eʼ³¬¢\9c\95\8bƹ³¥\9f\98\92\88ù¬¢\9f\95\8e\88À¶¬¢\95\92\88\85¼³©\9f\95\8e\88\81¹¯¥\9f\92\8e\85~¹¯¥\9c\92\8b\85~¹¬¢\98\8e\88\85~¶¬¢\95\8e\88\81{¶©\9f\95\8e\85\81w³©\9f\92\8b\85~{³¥\9f\92\8b\85~w¯¥\9f\92\88\85~w¬¥\98\8e\88\81{t¬¢\9c\8e\88\81{t¬¢\95\8e\85~{t¬\9f\95\8e\85~wq\8e\85~tmg`ZSMC<66/,%\1f\18\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\a\ 1\0\0\0\0("\e\15\11\ e\v\ e?9/,("\1f\1fSMC<66//]VPFC<66g]SPIC?<j`ZPMFC<j`ZSMIC?md]SPIC?mg]VPMFCqj`ZPMFFtmd]VPMFwmg`VSPI{qj`]VPP~tjg]ZSP\81wmg`ZSP\85{qj`]VS\85{qjd]ZV\85~tmg`ZV\88~wmj`]Z\88\81wmjd]Z\8b\85wqjd`]\8e\81{qjg`]\8e\85{tmg`]\92\88~tmj``\92\88~wmjd`\92\88\81wmjd`\92\88\81wqjg\95Ðƹ³¬¢\9føÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçáÓÍôîá×ÊƼ¶á×Íù³¬¥×ÊÀ¹¯©\9f\9cÐƹ³©¢\9c\95Íù¯¥\9f\98\92Íù¯¥\9f\98\92Íù¯¥\9f\98\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bƹ¯©\9f\98\8e\88ù¬¥\9c\95\8e\85¼¶©¢\9c\92\8b\85¼³©\9f\98\92\88\85¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¹¬\9f\9c\8e\8b\85~¶¬\9f\95\8e\88\85{³©\9f\95\8e\85\81{³©\9f\95\8b\85~w³¥\9f\92\8b\85~w³¥\9c\92\8b\85~w¯¥\98\92\88\85{w¬¢\98\8e\88\81{t¬¢\95\8e\85\81{t¬¢\95\8e\85\81wq\8e\85{tjg`]PIC<66/("\1f\15\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\a\ 4\0\0\0\0\0%\1f\18\11\ e\v\ 4\ e<6/,%\1f\1f"PI?96/,/]VPF?<66d]SPFC<<j]VPMF??j`ZSMFC?jd]SPICCmg]VPMFCqj`ZSPIItj`ZSPMIwmg`ZSPP{qjd]VPS~tjg]ZSP~wmj`ZVS\85wqjd]VV\85~qjg`ZZ\85~tmg`]]\88\81tqjd]]\88\81wqjd]]\8b\85wqjg``\8b\85{tmj``\8e\85~tmj``\8e\85{tmjdd\92\88~tqjdd\92\88~wqjgg\92\88\81wqjg\9fÓƼ³¬¢\9cþÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþøîçÿÿûñçÝ×Íøîá×ÊƼ¶ä×Íƹ³¬¥×ÊÀ¹¯©¢\9cÓƹ³©¢\9c\95Ðƹ¯¥\9f\95\92Íù¯©\9f\98\92Ðù¯¥\9f\98\92Êù¯¥\9f\98\92ÊÀ¶¬¥\9f\95\8eƼ¶¬¢\9c\92\8bƹ³©\9f\98\8e\8bù¬¥\9f\95\8e\88À¶¬¢\98\92\8b\85¼³©\9f\95\92\88\85¹¯¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¶¬¢\98\92\88\85~³¬\9f\98\8e\85\81{³©\9f\95\8b\88\81{³©\9f\95\8b\85~{¯©\9f\92\8b\85~w¯¥\9c\92\88\85~w¯¢\9c\92\88\85{t¬¢\9c\8e\85\81{t¬¢\98\8e\85~{q©¢\98\8e\85\81wt\8e\85{tjg`ZSPC?96/,(\1f\e\15\ e\v\a\ 4\a\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\18\15\ e\v\a\15<6/,%"\1f(PI?962,2`VPF?969d]SPFC<<j`VPMF??j`ZSMF?Cj`ZSPICCmg]VPIFFmj`ZSPFMtj`]SPIPwmg]VSPPwqj`ZSPS~tjd]ZSV~wmg`ZSV\85{qj`]VZ\85{qjd]Z]\85~tjg`Z]\88~tmjd]]\88~wqj`]`\8b\81wqjg]d\8e\85{tjg`d\8e\85{tmj`d\8e\85~tmjdg\8e\88~wmjdj\92\88~wqjgj\95\88\81wqjg©ÐƼ³©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿøîäÝÓÍñëÝÓÊù¶á×Êù³¬¢Óʼ¹¯©\9f\9fÐƹ¯©¢\9c\95Íù¯¥\9f\9c\92Íù¯©\9f\98\92Íù¯©\9f\9c\95Íù¯¥\9f\98\95ÍÀ¶¬¥\9f\95\92ÆÀ³©¢\9c\92\8bƹ³©\9f\95\92\8bù¬¥\9f\92\8b\88¼¶¬¢\9c\92\8b\85¼³©\9f\98\8e\88\85¹¯¥\9f\92\8e\85\81¹¯¥\9c\92\8b\85~¶¬¢\98\92\88\85~¶©\9f\95\8e\88\81{³©\9f\95\8e\88\81{³©\9f\92\8b\85~{³©\9f\92\88\85{w¯¥\9f\92\88\85{w¯¢\9c\8e\88\81{w¯¢\9c\92\85\81{t¬¢\9c\8e\85\81wt¬\9f\98\8e\85\81wq\92\85{qjg`ZSIC<62/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\a\ 4\0\0\0\0\ 1(\1f\18\11\ e\v\ 4\e<6/,%"\1f/PI?962/9]VPF?<6<d]SPFC<?j`ZPMF?FjdZSPFCFjdZVPMCImg]VPMFMqj`ZSPIPtjd]SPMPwmg]ZSPV{qjd]VPV~tjd]ZSZ~wmg`]V]\81wqj`]V]\85{qjg`V`\88~tmg`Z`\88~wmg`]d\88\81wmj`]j\8b\85{qjg`j\8b\85{tjg`j\8e\85{tmj`j\8e\85{tmj`j\92\88~wmj`m\92\88~wqjdm\92\88~wmjg³Óƹ³©¢\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûîçáÓÍøîÝÓÊƹ¶ä×Êù³¬¥×ÍÀ¹¯©\9f\9cÐƹ³©¢\9c\95Ðƹ¯¥\9f\98\95Íù¯¥\9f\95\98Íƹ¯¥\9f\98\95ÍÀ¹¬¥\9f\95\92ÊÀ¶¬¢\9c\95\92Ƽ³¬¢\9c\92\92ƹ¯¥\9f\95\8e\8bù¬¢\9f\92\8e\8b¼¶©¢\98\92\8b\88¹³©\9f\95\8e\88\85¹¯¥\9f\92\8e\85\85¶¯¢\9c\92\8b\85\81¶¬\9f\98\8e\88\85\81³¬\9f\95\8e\88\81~³©\9f\92\8b\88\81~¯¥\9f\92\8b\85\81{¯¥\9c\92\8b\85~w¯¢\9c\92\88\81~w¯¢\9c\8e\85\81{w¬¢\98\8e\85\85{w¬¢\95\8e\85\81ww¬\9f\95\8e\85~wq\8e\85{tjg]ZPMC<62/,%\1f\15\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\11\ 4\ 4\0\0\0\0\a(\1f\e\11\ e\v\ 4\1f96/(%"\1f2PI?96//<]SMF?<6Fd]SMFC<Fj`VPIC?Ij`ZSMFCIj`ZSPFCPmg]VPICPmg]ZSPFStj`]SPMVwmg]VPP]{qj`ZSP]{tjg]ZS`~wmg`ZS`\85wqjd]Vg\85{qjd`Zj\85~tmg`]j\88~wmjd]j\88\81wmjd]m\88\85{qjd`m\8e\85{qjg`m\8e\85{tmg`q\8e\85~tmjdq\8e\88~tmjgt\92\88~wqjdt\92\88\81wqmg¹Ðƹ³©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîëÿÿûîäÝ×ÐôîáÓÊƼ¹á×Íù¯¬©×ÊÀ¹¯©\9f\9fÐƹ³©¢\9c\9fÐƹ³©¢\98\9cÍù¯¥\9f\98\9cÐù¬©\9f\9c\9cÍù¬¥\9f\95\98ÊÀ¹¬¥\9f\95\92ʼ³©¢\9c\92\92ƹ¯¥\9f\98\8e\8eù¬¢\9f\92\8e\8eÀ¶¬\9f\98\92\8b\8b¼³¥\9f\95\8e\85\85¹¯¥\9f\92\8e\85\85¹¯¢\9c\92\8b\85\85¶¬\9f\98\92\88\85\85¶¬¢\95\8e\88\81\81³©\9f\95\8e\88~~³¥\9f\92\8b\85~~¯¥\9f\92\88\85~{¯¥\9c\92\88\85{{¬¢\9c\92\88\81{{¬¢\98\8e\85\81w{¬¢\98\8e\85\81{{©¢\95\8e\85\81wq\8b\85{tjg`ZSMC<66/,(\1f\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\a\ 1\0\0\0\15,"\1f\18\11\ e\v,?92,(%\1f9PIC<62/C]VPF?<9Ig]SPFC<Mj]ZPIF?Pj`ZSMFCPjd]SPICPmg]VPMCVmj]VPPFZtj`ZSPI]wmg]VPM`wqj`ZVP`~tjd]ZSg~tmg`ZSj\85wqjd]Vj\85{tjd]Vm\85~tmg`Zm\85~tmg`Zq\88\81wmjd]q\88\81wqjd]t\8e\85{qjg`t\8e\85{tmg`w\8e\85{wmjdw\8e\85~wmg`w\92\85~wqjd{\92\88\81wqjgÃÓƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþþÿÿÿÿþøîëÿÿûîäÝÓÐøëÝÓÍƼ¹á×Êù³¬¬ÓÊÀ¹¬©\9f¢Ðƹ¯©¢\98\9fÍù¯¥¢\98\9fÍù¯¥\9f\98\9fÊù¬¥\9f\95\9fÍù¯¢\9f\95\9cÊÀ¶¬¢\9f\95\98Ƽ³©\9f\98\92\95ù¯¥\9f\98\92\92ù¬¢\9f\92\8e\8e¼¶©\9f\98\8e\88\8e¹³¥\9f\98\8e\88\8b¹³¥\9f\95\8e\85\88¹¬¢\9c\92\8b\85\88¶¬\9f\98\92\88\85\85³©\9f\98\8e\88\81\85³©\9f\95\8b\88~\85³©\9f\95\8b\85~\81³¥\9f\92\88\85~\81¯¥\9c\92\88\85~~¯¢\9c\92\88\81{~¬¢\95\8e\85\81{~¬¢\95\8e\85~w{©¢\95\8e\85\81wq\8b\85{qjg`ZSMC<62/,"\1f\15\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 1\0\0\0\0\15%\1f\18\11\ e\v\a/<6/,("\1f?PI?962/M]SMF?96P`]SIFC<Sg`VPIF?Sj`ZSMFCVjdZSPFCZmg]VPMC]qj`ZPPF`tj`ZSPMgwmg]ZSPj{qj`]VPj~tmd]ZSm\81wmg`]Sm\81wmj`]Zq\85{tjd`Zt\85{tmg`]t\85\81tmj`]w\8b\85wqj`]w\8b\81wqjg`{\8b\85{qmg`{\8e\85{tmj`~\8e\85~tmjd\81\8e\88{tmjd\81\92\88~wmjd\81\92\88\81wqjgÊÐƹ³©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîîÿÿûîäÝÓÐøëáÓÊù¼á×Êù³¬¯×ÍÀ¹¯¥\9f©Ðƹ³©¢\9c¥Íù¯©\9f\9c¥Íù¯¥\9f\9c¥Íù¯¥\9f\98¥Êù¬¥\9f\98\9fÊÀ¶¬¢\9f\98\9fƼ³©¢\98\92\9cƹ³¥\9f\95\8e\9cù¬¥\9f\92\8e\95¼¶¬\9f\9c\92\88\92¹³¥\9f\92\8e\85\92¹¯¢\9f\95\8e\85\8e¹¬¢\9c\92\8b\85\8e¶¬\9f\98\92\88\85\8b³¬\9f\98\8b\88\81\8b³©\9f\95\8b\85\81\88¯©\9f\92\8b\85~\85¯¥\9f\92\8b\85~\85¬¢\9c\92\88\81~\85¯¢\9c\8e\88\81{\81¬¢\95\8e\88\81{\85¬\9f\98\8e\85\81w\81©¢\95\8e\85\81wt\8b\85{qjg`]SMC<62/,%\1f\18\11\ e\a\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\a\ 4\0\0\0\0\1f%\1f\18\11\ e\v\ 46<6/,%"\1fIPI?96/,S]VMF?96Vd]SPFC9Zj`VPIC?Zj`ZPIFC]jdZSMIC`md]VPIF`qj]ZPMFjtj`]SPIjtmg]VSMmwqg`ZSPq{tj`]ZSt~tmg]ZSw\81wqj`]V{\85{qjd]Z{\85{qjg`]{\88~tmg`]~\88\81tmjd]\81\8b\81wqjd]\81\8b\85{qjg`\85\8e\85{tjg`\85\8e\85{tmj`\85\8e\88~tmjg\85\92\85~wqjd\88\92\88~wqjgÐÐƼ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîîÿÿøîäÝÓÓôçÝÓÊù¼áÓÊÀ¹¯©³×ÊÀ¶¬©\9f¯Íƹ¯©¢\9c¬Êù¯¥¢\9c¬Êù¯¥\9f\95¯Êù¬¥\9f\98¬ÊÀ¹¯¥\9f\95©ÊÀ¶©¢\9f\98©Æ¼³©\9f\9f\92¢Æ¹¯¥\9f\98\92\9fö¬¢\9f\92\8e\9f¼³¬¢\98\92\88\9c¹¯¥\9f\98\8e\85\98¹¯¢\9f\92\8e\85\95¹¬¢\9c\92\88\85\95¶©\9f\98\8e\88\81\92³©\9f\98\8e\85\81\92³©\9f\92\8b\88~\8e¯¥\9c\92\88\85~\8e¯¥\9c\92\88\85{\8e¯¢\9c\8e\88\81~\88¬¢\9c\8e\88\81{\88¯¢\98\8e\85\81{\88¬¢\95\8b\85~w\88¬\9f\95\8b\85~wt\8b\85wqjg]ZPIC<62/,%\1f\18\11\v\a\a\ 1\ 4\0\0\0\0\0\0\ 4\ e\a\ 4\0\0\0\0"%\1f\e\15\ e\v\a<<6/,("\1fPPI?962/Z]SPF?96]d]SPFC<`g`VPIFC`j`ZPPFC`jd]SPICgmg]VPIFjmj`ZSPFjtj`]SPMqtmg]ZSPt{qj`]SPw{tjd]VP{\81wmg]ZS{\81wmj`ZV\81\85wqjd]Z\81\85~tjg]Z\85\85~tmg`]\85\88~wmj`]\85\88\81wqjd`\88\8b\85wqjg`\88\8e\85{qjg`\8b\8e\85{tmj`\8e\8e\85~tmjd\8e\8e\88~tqjg\92\92\88~wmjgÓÐƼ³¬¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîôÿÿûîäÝÓ×ôëáÓÊüÃáÓÊÀ¹³©¹ÓÍÀ¹¯©\9f¶Ðƹ³©¢\9c¶Íù¯¥\9f\98¶Íù¯¥\9f\95¶Íù¯¥\9f\9c³ÊÀ¹¯¥\9f\95¯Ê¼¶©¢\9f\92¬Æ¼³©\9f\9c\95©Ã¹¯¥\9f\95\8e¥Ã¹¬¢\9f\92\8e¢¼³©\9f\98\92\8b¢¹³¥\9f\95\8e\88\9f¹¯¢\9f\92\8e\85\9f¶¬¢\9c\92\88\85\9c³©\9f\95\92\88\85\98³©\9f\98\8e\88\81\95³¥\9f\92\8b\85~\95¯¥\9f\92\8b\85~\95¯¥\9f\92\8b\85~\95¯¥\9c\8e\88\85{\92¬¢\9c\8e\88\81{\92¬¢\98\8e\85\81{\92¬\9f\98\8e\85\81w\8e©\9f\95\8e\85\81ww\8e\85{tjg]ZPI?<66/,"\1f\15\11\v\a\ 1\ 1\ 4\0\0\0\0\0\0\v\ e\a\ 1\0\0\0\0(%\1f\18\15\ e\a\aC<6/,%"\1fSPI?962/]]SMF?<6dd]SMF?9jg]VPIF?jj`ZPMI?jj`ZSPFCjmd]SPIFmmg`VSMFttj`ZSPIwwmg]VSM{wqj`ZSP~~tjd]ZS\81~tmg`]S\85\81wqj`]V\85\85{qjd`Z\88\85{tmg`Z\88\88~wmgd]\8b\88\81wmjd`\8b\88\81wqjg`\8e\8b\85wqjg`\8e\8b\85{tmj`\8e\8b\85{tmjd\92\8e\88~tmjd\92\92\85~wmjg\95\92\88~wqjg×Ðù³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîûÿÿûîäÝÓÝôëÝÓÊüÊáÓÊù³¬ÃÓÊÀ¹¬¥¢¼Ðƹ³©¢\9c¼Íù¬¥\9f\9c¼Êù¯¥\9f\98¹Íù¯¥\9f\98¹ÍÀ¹¬¥\9f\95¹Ê¼¶¬¢\9c\95¶Æ¼³©\9f\9c\92³Ã¹¯¥\9f\95\8e¯Ã¹¬¢\9c\92\8b¬¼³©\9f\98\92\88¬¹¯¥\9f\95\8e\88¥¹¯¥\9c\92\8b\85¢¶¬\9f\9c\92\8b\85¢¶¬\9f\95\92\88\81¢³©\9f\95\8b\85\81\9f³©\9f\92\8b\85\81\9f¯¥\9f\92\8b\85~\9f¯¥\9c\92\8b\85{\9c¯¢\9c\8e\88\85{\9c¯¢\98\8e\85\81{\9c¬\9f\95\8e\85\81{\98¬\9f\95\8e\85\81w\98¬\9f\95\8b\85~w{\8e\85{qjg`ZSIC<62/,%\1f\18\11\ e\v\a\ 4\ 4\0\0\0\0\0\0\15\15\v\ 4\ 1\0\0\0/("\e\15\11\ e\vC<9/,("\1fVPF?96//`]SPF?<6jd]SPFC<mj]VPIC<mj`ZPMF?mj`ZSPFCqmd]SPICtmj]VPMFwqj`ZSPI{wmg]VPP\81{qj`ZSP\85{qjd]VP\85~tmg`ZS\88\81wmgd]V\88\85{qj`]Z\8b\85{tjd]Z\8e\85~tmg`]\8e\88\81wmjd]\92\88~wmjd]\92\8b\81{qjg`\95\8b\85{qmg]\95\92\85{tmj`\95\8e\85~tmj`\98\8e\85~wqjd\98\92\88~wqjgÚÐù³©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîþÿÿøîäÝÓäôëÝÓÊùÓá×Êù¯¬Æ×ʼ¹¬¥\9fÃÍù¯©¢\9cÃÊÀ¹¬¥\9f\98ÃÊö¬¥\9f\95ÃÍÀ¹¬¥\9f\95ÃÊÀ¶¬¢\9f\95¼Æ¼³¬¢\9c\95¼Æ¼¯©\9f\9c\92¹Ã¹¯¥\9f\98\8e¶À¹¬¢\9c\92\8b³¼¶©\9f\98\92\88³¼¯¥\9f\98\8e\88¯¹¯¥\9f\92\8b\85¯¹¬¢\98\92\8b\85¬¶©\9f\98\8e\88\81©³©\9f\98\8e\88~©³©\9f\95\8b\85\81¥¯¥\9c\92\8b\85~¢¯¥\9c\92\88\85{¢¯¢\9c\92\88\85{¢¬¢\98\8e\85\81{\9f¬\9f\98\8e\88\81{\9f¬\9f\95\8b\85~w\9f©\9f\95\8e\85~w\81\8b\85{qjg`ZSIC962/(%\1f\15\ e\v\v\ 4\ 4\ 4\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,(\1f\18\11\v\v\ 4F<6/,%\1f\1fZPF?96//g]SMC?<6jg]SMFC<qj]VPMC?qj`ZPMFCtjdZPMFCwmgZVPIFwqg`ZSMF{tj`]SPI~tmg]ZPP\81wqj`]VP\85~tjd]VS\88~tmg`ZV\88\81wmj`]V\8b\85wqjd`Z\8e\85~qmg`]\8e\85~tmjd]\92\88~tmjd]\95\88\85wmjg]\95\8b\81{qjg`\98\8b\85{qjg`\9c\8e\85{qjj`\9c\8e\85{tmjd\9f\8e\85~tmjd\9c\92\88~wqjgÚÐù³¥¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓëôëÝÓÊùÚá×Íù³©Ð×ʼ¶¯©\9fÊÐù¯©¢\9cÊÐù¯¥\9f\98ÊÍù¬¥\9f\95ÍÊö¬¥\9f\95ÊÊÀ¶¯¥\9f\95Êʼ³¬¢\9c\92Æƹ³©\9f\9c\92Àù¯¥\9f\98\8e¼À¹¬¢\9c\95\8b¹¼³©\9f\98\92\8b¹¼¯¥\9f\92\8e\85¶¹¯¥\9c\92\8b\85³¹¬¢\98\92\88\85¯¶©\9f\98\8e\88\85¯³©\9f\95\8e\88\81¬¯©\9f\95\8b\85~¬¯¥\9c\92\88\85~¬¯¥\9c\92\88\85{©¬¢\9c\8e\88\85{©¬¢\98\92\85\81{¥¬¢\95\8e\85\81{¢©\9f\92\8b\85~w¥©\9f\95\8b\85~w\85\8b\85{qjg]ZSIC<62/,"\1f\15\11\v\a\ 4\ 4\ 4\0\0\0\0\0\0\18\11\a\ 1\0\0\0\0/%\1f\18\11\ e\a\ 4I96/(%\1f\1f]PF?96/,j]SMF<96mdZSMF?<qg`VPIC?tg`VPMFCtjdZSPFCwjd]VPIC{mg]VPIF~qj`ZSPI\81tjd]VPI\85{mg`ZSP\88{qjd]VP\8b~tmg`ZS\8e\81wmj`]S\8e\85{qjd]V\92\85{qjd]Z\92\85~tjg`Z\92\88~wmj`]\98\88\81wmjd`\95\88\85wmjd`\98\8b\85{qmg`\9c\8e\85{tmj`\9c\92\85{tmjd\9f\92\88~tmjd\9f\92\88~wmjgÚÍù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîäÚÓîñçÝÐÊùÝáÓÊù¯¬ÓÓÊÀ¶¯¥\9fÐÍù¯©\9f\9cÓÍÀ¹¯¥\9f\98ÓÍù¬¥\9f\95ÓÍÀ¹¯¥\9f\95ÐÊÀ¶¬¥\9f\98Ðʼ¶¬¥\9f\92ÊƼ³©¢\9c\92Æù¯¥\9f\98\92Æö¬¢\9c\92\8eÀ¼³©\9f\98\92\88¼¹³¥\9f\98\8e\85¹¹¯¥\9c\92\8b\85¹¶¬¢\98\92\88\85¹¶¬\9f\95\92\88\81¹³©\9f\92\8e\85~¶³¥\9f\92\8e\85~¶¯¥\9f\92\88\85~³¯¥\9c\92\8b\81~³¯¢\9c\8e\88\81{¯¯¢\9c\8e\88\81{¬¬¢\98\8b\88\81w¯©\9f\95\8b\85~w¬¬\9f\92\8b\85~w\88\8b\85wqjg`ZPI?<62/,"\1f\15\11\v\v\ 4\ 4\ 4\0\0\0\0\0\0\e\ e\a\ 4\0\0\0\0/(\1f\e\15\ e\v\ 4I<6/,%"\1f]PF?962/j]SPF?96mdZSMFC<tj`VPMF?wj`ZPMFCwjdZSPICwmd]SPMF{mg]ZPPI\81qj`]SPM\85tmd]VSM\85wqj`ZSP\88{qjd]VP\8b~tjg]ZS\8e\81wmg`]V\92\85{qjd]V\92\85{qjg`Z\95\85~tmj`Z\95\88~wmj`]\98\88\81wmjd]\98\8b\85wqjg]\9c\8b\85{tjg`\9c\8b\85{tmg`\9f\92\85{tmj`\9f\8e\88~tmjg\9f\8e\88~wqjd\9f\92\88~wqjg¢\92\88\81wqjg¢\92\8b\81wqjg¢\92\8b\81{tjg¢\95\88\85{qmj¥\95\8b\85{tmj¥\98\8b\85{tmj¥\98\8e\85~tmj¥\98\8e\85{tqj¥\9c\8b\85{wqj¥\95\8e\85~wqj©\98\8e\85~wqj¥\95\8e\85\81wqj¥\9c\8e\85\81wqj©\9c\92\88~wqm©\9c\8e\85\81wqm©\9c\8e\88\81wtm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9c\92\88\81wtm©\9c\92\88~{tm©\9c\92\88\81wtm©\9c\92\88\81{tm©\9f\92\88\81{tm¬\9c\92\88\81{tm¬\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm¬\9f\92\88\81wtm¬\9f\92\88\81{tm¬\9f\92\88\85{tm¬\9f\92\88\81wtmçÚÍù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôîäÚþûñäÚÓÊÀîçÝÐƼ¹¯äÚÓƼ³¬¥á×ʼ¶¬¥\9fÝÓƼ³¬¢\9fÝÓƹ³¬¢\9fÝÐƹ³¬¢\9cÚÐù¯©\9f\9c×Íù¯¥\9f\95ÓÊÀ¶¬¢\9f\95Óƹ³©\9f\9c\92Íƹ¬¥\9f\95\8eÊÀ¶¬\9f\9c\95\8eƼ³©\9f\95\8e\88ƹ¯¥\9c\95\8e\88ù¬¥\9c\92\8b\85ù¬\9f\9c\92\8b\85À¶¬\9f\98\8e\88\85¼³©\9f\95\8b\88\81¹¯¥\9c\92\8b\85~¹¯¥\9c\92\8b\85~¹¯¢\98\92\88\85~¹¬¢\98\8e\88\81~¶¬¢\98\8e\88\81{³¬\9f\98\8e\88\81{³¬¢\95\8e\85~w³©\9f\95\8b\85~w³¥\9f\95\8b\85~w³¥\9f\92\8b\85~t³¥\9f\92\88\81{t³¥\9f\92\88\81{t¯¥\9f\92\88\81{t¯¥\9c\92\88\81{t¯¥\9c\8e\85\81{t¯¥\98\8e\85~wt¬¢\9c\8e\85~wt¯¥\98\8e\85~wq¬¢\9c\8e\85~wq¬¢\98\8e\85~wq¯¢\98\8e\85~wq¬¢\95\8e\85~wq¬¢\95\8e\85~wq¬¢\98\8e\85~wq¬¢\95\8b\85{wm¬\9f\95\8b\85{tq¬\9f\95\8b\85{tm¬\9f\95\8e\85~tm¬\9f\95\8b\85{wm¬\9f\95\8b\85{tm¬\9f\95\8b\85{tm¬\9f\95\8b\85{tm¬\9f\92\8b\85{tq¬\9f\95\8b\81{tm¬\9f\92\8b\85{tm¬\9f\95\88\81{tm¬\9f\95\88\81{tm©\9f\95\88\85{tm©\9f\95\8b\85{wm\88\85{qjd]ZSIC96//,%\1f\e\11\ e\v\a\ 4\ 4\0\0\0\0\0\0\0\11\a\ 1\0\0\0\0\0,"\18\11\ e\v\ 4\ 4C9/,("\1f\eZPF?66/,j]SMF?<6qg]SPF?<tj]VPMF?wj`ZPMF?wj`]SPIC{jdZSPIC~mg]VPMF~qj`ZSPF\81tmd]VPM\85wmg`ZSP\88{qj`]VS\8b~tjg]ZS\8e\81wmg`]V\92\81{qj`]Z\92\85{qjd]Z\92\85~tjg`]\95\88~tmg`Z\98\88\81wmjd]\98\88\85wqjg]\9c\8e\85{qjg`\9c\8e\85{tmg`\9f\8e\85{tmjd\9f\8e\85~tmjd\9f\92\88~wqjgÚÐƹ³©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîáÝÓþôçÚÓÊùîáÓÊÀ¹³©áÓʼ¶¬¥\9fÝÐù¯©\9f\98ÚÍÀ¶¬¥\9f\98ÚÊÀ¶¬¥\9f\98ÚÍÀ¶¬¥\9f\95×ʼ¶©¢\9f\95ÓƼ¶©¢\9c\95Óƹ³©\9f\9c\92Óù¯¥\9f\95\92ÐÀ¶¬¢\9f\95\8bͼ³©\9f\98\92\88ʹ³¥\9c\95\8e\85ƹ¯¢\9c\92\8b\85ƶ¬¢\98\8e\88\85ö©\9f\95\8e\88\81ó©\9f\92\8e\85~À³¥\9f\95\88\85~¼¯¥\9f\92\8b\85~¼¯¢\9c\8e\88\85~¹¬¢\98\8e\88\81{¹¬\9f\98\8e\88\81{¹¬\9f\95\8e\85~w¹©\9f\92\8b\85~w¹©\9f\95\8b\85~w\95\8b\85wqjd]ZPI?962,,"\1f\15\ e\v\a\ 4\a\ 4\0\0\0\0\0\0\e\ e\ 4\ 4\0\0\0\0/%\1f\18\15\v\a\ 4F<6/,%"\1fZPI?96//g]SPF?96m`]SMF?<tg`VPIF?tj`ZPMF?tj`ZSPFCwjdZSPIF{mg]VSPF~qj`ZSPM\85tjg]VSP\85wmj`ZVP\88{tjd]VS\8b~wmg`ZS\8b\81wmjd]Z\92\85wqjd]Z\92\85~qjg]Z\95\85~tmg`Z\95\85~tmj`]\95\88\81wmjd]\98\88\81wqjg`\98\8e\85{qjg`\9c\8e\85{tmg`\9c\8e\85{wmj`\9f\8e\85~wmj`\9f\92\85~tmjg\9f\92\88~wqjg\9f\92\88\81wqjg\9f\95\88\81{qjg\9f\92\8b\81wtmg\9f\92\88\81wtmj\9f\95\8b\85{tmg¢\95\8b\85{tmj¥\95\8b\85{tmj¢\98\8b\85{tqj¥\98\8e\85{wmj¥\98\8e\85~wmj¥\98\8e\85~wmj¥\98\92\85~wqm¥\9c\92\85~wqj¥\9c\92\85~wqj¥\9c\8e\85~wqj¥\9c\92\88~wqj¥\9c\92\85\81wqm¥\9c\8e\85\81wqj©\9c\92\88\81{qm¥\9c\92\88~{tj©\9c\92\88\81wtm©\9c\92\88\81{tm©\9c\92\88\81{tm©\9f\92\85\81{tm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{wm©\9c\92\88\85{tm©\9c\92\88\81{tm©\9f\92\88\81~tm©\9c\92\88\85{tmçÚÍù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôîäÚÿûñäÚÐÆÀøçÝÐƼ¹¯ëÚÐù³¬¢äÓƼ¶¬¥\9fáÐƹ³¬¥\9fáÐƹ³©¢\9fáÐƹ¯©¢\9cÝÐù¯©\9f\9cÚÍÀ¹¬¥\9f\98×ʼ¶¬¢\9f\95×ƹ³©\9f\98\92Óù¯¥\9f\95\8eÐÀ¶©¢\9c\95\88ͼ³©\9f\95\92\88ʹ¯¥\9f\95\8e\85ƹ¬¥\9c\92\8b\85ƶ¬\9f\95\8e\88\85ö©\9f\95\8e\85\81ó©\9f\95\8e\85~À³¥\9f\92\8b\85\81À¯¥\9c\92\8b\85\81À¬¢\9c\8e\88\85{¹¬¢\98\8e\88\81{¹¬\9f\98\8e\88\81{¹©\9f\95\8b\85\81w¹¬\9f\95\8e\85~w¹©\9f\92\8b\85{w¹©\9f\92\88\85~t¶¥\9c\92\8b\85~t¶¥\9f\92\88\81{t³¥\9c\92\88\81{t³¥\9c\8e\88\81{t³¢\9c\8e\85\81wt³¥\9c\8e\85\81wt³¥\9c\8e\85\81wq³¢\98\8e\85~wt³¢\98\92\85\81wt¯¢\98\8b\85~wq³¢\98\8e\85{wt¯¢\95\8e\85~wq¯¢\95\8e\85~wq¯¢\95\8e\85~wq¯¢\95\8b\85~tm¯¢\95\8b\85~tm¯\9f\95\8b\85~wm¯\9f\95\8b\85{tq¯¢\95\8b\85~wq¯\9f\95\8b\81{tm¯\9f\92\8b\85{tm¯\9f\92\8b\85{tm¯\9f\92\8b\85{tm¬\9f\92\8b\85{tm¯\9f\95\8b\81{tm¬\9f\95\8b\85{tm¯\9f\92\88\85{tm¯\9f\92\8b\81{tm¯\9f\92\8b\81{tm¯\9f\92\88\81{tm\92\85{mjd]VPIC962/("\1f\18\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\ e\ 4\ 1\0\0\0\0\0%\1f\18\11\v\ 4\ 4\ 1?62,%"\1f\eVPF?92/,g]SMF?96mg]SPFC<qj`VPMFCtj`ZSMFCtj`ZSPICwjd]SPIFwmj]ZPPF~qj`ZSPI\81tjd]VPM\85wqg]ZSP\85{tjd]VP\88~tmd]ZS\8b~wmj`]V\8b\81wqjd]V\8e\85{qjd]Z\8e\85~tmg`Z\92\88~tmg`]\92\88\81wqjd]\95\8b\81wqjd]\95\8b\85{qjg`\98\8e\85{tjg`\98\8e\85{tmj`\9c\8e\85~wmjd\9c\92\85~wmjd\9f\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\8b\81{qjg\9f\92\8b\81{qmj\9f\95\88\81{tmg\9f\95\8b\85{tmj\9f\98\8b\85{wmj¢\95\8e\85~wmj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wqj¢\9c\8e\85~{tm¢\9c\8e\85~wtm¥\9c\92\85\81wtj¢\9c\92\88~{tm¥\9c\92\88\81wqm¥\9c\8e\85\81{qm¥\9c\92\85\81{qj¥\9c\92\88\85{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tj¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tmä×Íù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôîäÚÿûîá×ÐÊÀøçÚÓƼ¶¯îÚÐƹ³¬¥äÓʼ¶¬¥\9fáÐƼ³¬¢\9cáÐƹ³¬¢\9cÝÐù¯©¢\9cÝÐù¯©\9f\9cÚÍÀ¹¬¥\9f\95×ʼ³¬¢\9f\95Óƹ³©\9f\98\92Óù¬¥\9f\95\8eÍÀ¶¬¢\9c\92\8bʼ³©\9f\95\8e\88ƹ¬¥\9f\92\8b\85ƹ¬¢\9c\92\8b\85ö¬\9f\98\92\88\85ó©\9f\98\8e\88\85¼³¥\9f\95\8b\85\81¼¯¥\9f\92\88\85\81¼¯¥\9c\92\88\85~¹¯¢\9c\92\88\81{¹¬¢\98\8b\88\81{¹¬\9f\98\8b\88~{¹©\9f\95\8b\85\81w¹©\9f\95\8b\85~w¶©\9f\92\88\85~w¶©\9f\95\88\85{w¶¥\9f\92\88\85{t¶¥\9c\92\88\81~w³¥\9c\8e\88\81{t³¥\9c\92\88\81wt³¥\9c\8e\85\81wt³¢\9c\8e\85\81wq³¥\98\92\85~wt¯¢\98\8e\85\81wt¯¢\95\8e\85~wq¯¢\95\8e\85~wq¯¢\98\8b\85~wm¯¢\98\8e\85~wq¯\9f\95\8b\85~wm¯\9f\92\8b\85~wm¯¢\95\8b\85{wm¯\9f\95\8b\85~tq¬\9f\95\8b\85~wq¯\9f\98\8b\81~wq¬\9f\95\8b\81~tq¬\9f\95\8b\85{tm¬\9f\92\8b\85{tm¬\9f\92\8b\81~tm¬\9f\92\8b\81{tm¬\9f\95\88\85{tm¬\9f\92\8b\85{tm¬\9f\92\88\85{tm¬\9f\92\88\85{tm¬\9f\95\88\81{tm¬\9f\92\88\85{tm¬\9f\92\88\81{tm\8e\85wqjd]ZPMC96//,(\1f\e\15\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\0\ e\a\ 1\0\0\0\0\0%\1f\18\11\ e\a\ 4\ 1?62,(\1f\1f\18SPF<62/,d]SMF?96jd]SMFC<qg]VPIF?qj`ZPPF?qj`ZSPFCtjd]SPICwmg]VPIF{qj`ZSPI~tmd]VPM\81wmg`ZSM\85{qj`]VP\85~tjd]ZS\88~tmg`]S\8b\85{qj`]V\8b\85{qjg]Z\8e\85{tmd`]\92\85{tmg`]\92\88~wmjd]\92\88\81wmjg]\95\88\81{qjg]\95\8b\85{qjj`\9c\8e\85{tmj`\9c\8e\85{tmj`\9c\8e\85~wmjd\9f\92\88~wqjd\9f\92\88~wqjg\9f\92\88\81wqjg\9f\92\8b~{qmg\9f\92\88\81{qmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj¢\98\8b\85{tmj\9f\95\8b\85~wqj¢\95\8e\85{tmj¢\98\8e\85~wmj¢\95\8e\85~wqj¢\98\8e\88~wqj¢\9c\92\85~wqj¢\9c\92\85~wqj¥\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wqj¥\9c\92\85\81wqj¢\98\92\88\81wqm¥\9c\92\88\81wtm¥\9c\92\88\81wtj¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\88\81{qm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88~{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tmä×Íù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿûñîáÚÿûîä×ÓÆÀñäÚÐƼ¹¯çÚÍù³¬¥áÓƼ¶¬¥\9fÝÐƹ³¬\9f\9fÚÐƹ³©¢\9fÚÍù¯©\9f\9cÚÐù¯©\9f\98×ÍÀ¶¬¥\9f\95Óʼ³©¢\9c\95Óƹ³¥\9f\98\92Íö¯¢\9f\95\92ʼ¶¬\9f\98\92\8bƹ¯©\9f\9c\92\88ù¬¢\9c\92\8b\85ö¬¢\98\92\8b\85À¶©¢\98\8e\88\85À¶©\9f\95\8e\88\81¼³¥\9f\92\8b\85\81¼¯¥\9f\92\8e\85~¹¯¥\9f\92\8b\85~¹¯¢\9c\8e\88\85{¹¬¢\9c\8e\88\81{¹¬¢\98\8e\88~{¶¬\9f\95\8b\85~w¶©\9f\95\8b\85~w\9c\8b\85wqjg`ZPI?962/,"\e\15\ e\v\a\ 4\a\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,%\1f\18\11\ e\a\ 4C96/,"\1f\1fVPF?96//d]SMF?96j`]SMFC<mg]VPIC<qj]VPMF?tj`ZSPFCtjdZVPICwmg]VPMFwqj`ZSPI~tjg]VPM~wmj`ZSP\85{tjd]VP\85~tjg`ZS\88\81wmj`]V\88\81wqjd]Z\8b\85{qjg`Z\8e\85{tmg`]\92\85~tmjd]\92\88\81wmjd]\95\88\81wqjg`\95\8e\85{tmg`\95\8b\85wqmj`\98\8e\85{tmj`\9c\92\85{tmjd\98\8e\85~wqjd×Íù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿÿøîäÝÓþñçÝÓÊùîáÓÊÀ¹³©áÓÆÀ¶¯¥\9fÚÍù¯¥\9f\95×ÊÀ¶¬¥\9f\95×ÊÀ¶¬¥\9f\98×ÊÀ¶¬¢\9f\95×ʼ³¬¢\9c\92ÓƼ³¬¢\98\92Ðƹ¯¥\9f\98\92Íù¯¢\9c\95\8eÊÀ¶©¢\9c\92\8bʹ³©\9f\95\8e\88ƹ¯¥\9f\92\8b\85ù¬¢\9c\92\8b\85À¶¬\9f\98\8e\88\85¼¶©\9f\95\8e\88\81¼³¥\9f\92\8b\85\81¹³¥\9c\92\88\85~¹¯¢\9c\92\88\85{¹¬¢\9c\92\88\81{¶¬¢\95\8e\88\81{¶¬¢\98\8e\85\81{¶©\9f\95\8e\85~w¶©\9f\92\8b\85~w³©\9f\92\8b\85~w³¥\9f\92\8b\85{t³¥\9f\92\88\81~w¯¢\9c\92\88\81{t¯¢\9c\8e\88\81{t¯¢\9c\92\88\81{t¯¢\98\8e\85~{t¯¢\9c\8e\85~{q¬¢\98\8e\85~wq¬¢\98\8e\85~wq¬¢\98\8b\85~wq¬\9f\98\8e\85{wq¬¢\98\8b\85~wm¬\9f\95\8b\85~wq¬\9f\98\8b\85{wm©\9f\98\8b\85~tm¬\9f\95\8b\85{tm©\9f\95\8b\85{tq©\9f\95\88\85{tm©\9f\95\88\81{tq©\9f\92\88\81{tm¬\9f\92\88\85{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\95\88\81{tm©\9f\92\8b\85{tm©\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm\8b\81wmj`]VPIC96/,(%\1f\18\11\v\ 4\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\ 1\0\0\0\0\0%\1f\18\11\v\a\ 4\ 4<6/,%"\1f\18SMC<66/,d]SMF?96j`]SPFC<mg]VPMF?qj`ZPPFCqj`ZSPICtjd]SPICwmg]VPMFwqj`VSPI~tj`]VPI\81wmg`ZSP\85{qj`ZSP\85~tjg]ZS\88~wmg`]S\88\81wmj`]V\8b\85{qjd]V\8e\85~tjg`Z\8e\85~tmg`]\92\85~tmjd]\92\88\81wmjd]\95\8b\81wqjd]\92\8b\85{qmg]\95\8b\85{tmj`\98\8e\85{tmg`\98\8e\85~tmj`×Íù¯©\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûøîÿÿþôîáÚÓþñçÚÐÆÀ¹îáÓÊÀ¹³©ÝÓƼ¶¬¥\9f×Êù¯¥\9f\98ÓÊÀ¹¯¥\9f\95×ÆÀ¶¬¢\9f\95ÓÆÀ¶¬¢\9f\95ÓÊÀ³¬¢\9f\92ÓƼ³©\9f\9c\92Ðƹ¯¥\9f\95\8eÊÀ¹¬¢\9c\95\8eʼ³©¢\98\92\8bƹ¯¥\9f\95\8e\88ù¬¢\9c\92\8b\85À¶¬¢\9c\92\8b\85¼³©\9f\95\8e\88\81¼³©\9f\95\8e\85\81¹³©\9f\92\8b\85\81¹¯¥\9c\92\88\85~¹¯¢\9c\92\8b\85{¹¬¢\95\8e\85\85{¹¯\9f\95\8e\88\81{¶¬\9f\95\8e\85\81w¶¬\9f\95\8e\85~w³©\9f\92\8b\85~w³©\9f\92\8b\81~t\92\88\81wqjd]VPFC96/,("\1f\15\ e\a\ 4\0\a\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0("\1f\15\11\ e\a\ 4C96/("\1f\eSPF<62/,dZSIC<96j`ZPIC?9mg]SPIC<mj]VPMF?qj]ZPMFCtj`ZSPICtjg]SPIF{qj]ZSPI~tjd]VPM\81wmg`ZSP\85{qj`]VP\85{tjg]ZS\88~wmj`ZS\88\81wmjd]Z\8b\85{qjg`Z\8e\85{tmg`]\8e\85~tmj`]\8e\88~wqjd]\92\88\81wmjg]\92\88\81{tjg`\92\8b\81{qmj`\98\8b\85{qjjd\98\8e\85{tmj`\9c\8e\85{tmjd\9c\92\85~tqjg\9c\92\85~wqjg\9c\92\88~wqmg\9f\92\88\81wqmg\9f\92\8b~wtmj\9f\92\88\85{qmg\9f\92\8b\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\98\8b\85{tmj\9f\98\8b\85~tmj¢\98\8e\85{tqj\9f\98\8e\85~wmj¢\98\8e\85~tqj¢\9c\8e\85~wmj¢\98\8e\85~wqm¢\9c\8e\85~tqj¢\9c\8e\85~wqj¢\98\8e\85~wtj¢\9c\8e\85~wtm¢\9c\8e\85~wqm¢\9c\8e\85\81wtm¢\9c\92\85~wqm¢\9c\92\88~wtj¢\9c\92\85\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81wqm¢\9c\92\88\81wtm¢\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81{tmá×ÊÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûñëá×ÿøîá×ÍÆÀîäÚÍƼ¹¯ä×Íù³¬¥ÝÓƼ¶¬¥\9fÚÐƹ³©¢\9cÚÐƹ³©¢\9cÚÐƹ³©¢\9c×Êù¯¥\9f\98×ÊÀ¹¬¥\9f\95ÓƼ³©¢\9c\92Ðƹ¯¥\9f\98\8eÊö¬¢\9f\95\8bÆÀ³©\9f\9c\92\88ƹ³©\9f\95\8e\88ù¬¥\9f\95\8b\85À¹¬¢\9c\92\8b\85À³¬\9f\95\8e\88\85¼³©\9f\95\8e\88\81¹³¥\9f\95\8b\85~¹¯¥\9c\92\88\85{¹¯¥\9c\92\88\85{¶¬¢\98\8e\88\81{¶¬¢\98\8e\85\81w³©\9f\95\8b\85~w³©\9f\92\8b\85~w³©\9f\95\88\85{w¯©\9f\92\88\85~w¯¥\9f\92\88\85{t¯¥\9c\8e\88\85{t¯¥\9c\92\88\81{t¯¢\9c\8e\85\81{t¬¢\9c\8e\85\81{t¬¢\9c\8e\88~wq¬¢\95\8b\85~wq¬\9f\98\8e\85~wq©\9f\98\8b\85~wq¬\9f\95\8b\85~wq¬\9f\95\8b\85{wm©\9f\95\8b\85{wq©\9f\95\8e\85{tm©\9f\95\88\85{tq©\9f\95\8b\85~tq©\9f\95\8e\85{wm©\9f\95\88\85{tm©\9f\92\8b\85{tq©\9f\92\8b\81{tm©\9f\95\88\85{tm©\9f\92\88\85{tm¥\9f\95\88\81{tm©\9f\92\8b\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\95\88~{tm¥\9f\92\88\81wtm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm\8b\81wmg`]VPI?92/,("\1f\15\ e\v\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\v\ 4\ 4\0<6/,"\1f\e\18SPF<62/,dZPIC?96jdZSPFC<qd]VPIF?qj`ZPMFCqj`ZSMICtjdZSPMCtmg]VPMFwqj]ZSPI{tjd]VPM\81wmg]ZSP\85{qj`]VP\85{tjg]ZS\85~wmg]]V\88\81wqj`]V\8b\85{qjd]Z\8e\85{tjg`Z\8e\85~tmg`Z\92\85~tmj`]\92\88\81wmjd]\92\8b\81wqjd]\95\88\85{qjg`\95\8b\85{qjg`\95\8e\85{tmjd\98\8e\88{tmjd\9c\92\85{tmjd\9c\92\88~wmjd\9c\92\88~wmjg\9f\92\88\81wqmg\9f\92\88\81wqjg\9f\92\88\85wtmj\9f\92\8b\81{tmg\9f\95\8b\85{tmj\9f\95\8e\85{tmg\9f\95\8e\85{tmj\9f\98\8b\85~tmj\9f\95\8e\85{tqj\9f\98\8b\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~tqm¢\9c\8e\85~wqj¢\98\8e\85~wtj¢\9c\8e\88\81wqj¢\9c\8e\85\81wqj¥\9c\8e\88~wqj¢\9c\92\85\81wtm¢\98\92\88\81wtm¢\9c\92\88\81{tj¢\9c\8e\85\81wtm¢\9c\8e\88~{tj¢\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¢\9c\92\88\81{tmä×ÍÀ¹¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëáÚÿûîáÚÐƼñäÚÍƼ¶¬ä×Íù³¬¢ÝÓƼ¶¬¥\9fÚÐù³©\9f\98ÚÐù¯©\9f\98×Íù¯©\9f\98×Êù¯¥\9f\98ÓÊÀ¶¬¥\9f\92ÓƼ³¬¢\9c\92Ðƹ¯¥\9f\95\8eÍÀ¹¬¥\9f\92\8eƼ³©¢\9c\92\88ù³¥\9f\98\8e\88ù¬¢\9f\95\8e\85À¶¬¢\98\92\88\85¼¶©\9f\98\8e\88\85¹³©\9f\95\8e\88~¹¯¥\9f\92\8b\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85{¹¬¢\98\8e\88\85{³¬\9f\98\8e\85\81w³¬\9f\95\8b\85\81w³©\9f\92\8b\85~w¯©\9f\95\88\85~w¯¥\9f\92\88\85~w¯¥\9f\92\88\81{w¬¢\9c\92\88\81{t¬¢\9c\8e\88\81{t¬¢\98\8e\85\81wt¬¢\9c\8e\85\81wq¬¢\98\8e\85~wt¬¢\98\8e\85~wq¬¢\95\8b\85~wq¬¢\98\8e\85~wq©¢\98\8e\85~wq©\9f\95\8b\85{wq©\9f\95\8b\85~wq©\9f\98\8b\85{wm©\9f\95\8b\85{wm©\9f\95\8b\85{tq©\9f\92\8b\85{tm©\9f\95\8b\85{tm©\9f\95\8b\85{tm©\9f\92\8b\85{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9c\92\88\81wqm¥\9f\92\88\81wtm\88\81wmj`]VPFC96//("\1f\15\ e\v\a\ 4\0\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\18\11\v\a\ 4\ 1<6/,%"\1f\eSPF<96/,dZSMF?96j`ZSMFC<mg]VPMF?qj`ZPMFCqj]ZSPFCtj`ZSPICwmd]VPMFwqg`VSPF{tj`]SPM\81wmg]ZSM\85wqg`ZSP\85~qjd]ZS\88~wmg`ZS\88\81wmj`]V\8b\85wqjd]V\8b\85{qjg`Z\8e\85{tjg`]\92\85~tmj`]\92\88\81wmjd]\92\8b\81wqjg]\92\8b\85wqjg`\95\8b\85{tmj`\9c\8e\85{tjj`\98\8e\85{tmj`×ÊÀ¹¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþôëäÚÓûñäÚÐÆÀ¹çÝÓƼ¶¯©ÚÓƼ³¬¢\9fÓÍÀ¹¯¥\9f\98Óʼ¶¬¥\9f\95Óʼ¶¬¥\9f\98Óʼ¶©¥\9f\95ÓƼ³©¢\9c\92Ðƹ³©¢\9c\92Íù¯¥\9f\95\92ÊÀ¶¯¢\9f\92\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\95\8e\88À¹¬¢\9c\92\8b\85À¶©¢\98\92\88\85¼³©\9f\95\8e\88\81¼³©\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\81~¹¯¢\9c\92\88\81{¶¬¢\98\8e\88\81{³¬\9f\98\8e\85~{³¬\9f\95\8b\85\81w³©\9f\98\8b\85~w¯©\9f\92\8b\85{w¯¥\9f\92\8b\85~t\92\88~wmjd]VPF?<6/,(\1f\e\11\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0("\1f\15\11\v\a\ 4?92/("\1f\eVPF<96/,`ZPMC?96j`VPIF?9jg]SPFC<mg]SPIC<qg`ZPIF?qj`ZSPFCtmg]SPMCwqg]ZSPI~tjd]SPM\81wmg`VSP\85wqj`]SP\85{qjd]ZS\85~tmg`]V\88\81wmj`]V\8b\81wqjg]Z\8e\85{qjg`Z\92\85{tmj`]\8e\85~tmjd]\92\88~wqjd]\92\88\81wqjg]\92\8b\81{qjg`\98\8b\85{tjj`\95\8b\85{qmj`\98\8e\85~tmj`×ÍÀ¹¯¥¢\95ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþñîÿÿþøîäÚÓûñçÚÓÆÀ¹çÝÓƼ¹¯©ÝÓƼ³¬¥\9f×ÊÀ¹¬¥\9f\98Óʼ¶¬¥\9f\98Óʼ¶¬¢\9f\98Óʼ¶¬¢\9c\95ÓƼ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ¯¥\9f\98\92Êù¬¢\9f\92\8eƼ³©\9f\9c\8e\88ƹ¯¥\9f\95\8e\88ù¬¢\9c\92\8b\85À¶¬\9f\98\92\88\85¼¶©\9f\95\8e\88\81¹³©\9f\95\8b\85\81¹¯¥\9f\92\8b\85~¹¬¢\9c\92\8b\85~¹¬¢\9c\8e\88\81{¶¬¢\98\8e\88\81{¶¬\9f\95\8e\88\81{³©\9f\95\8b\85~{³©\9f\95\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85{t\92\88\81wqjd]ZPI?962,,%\1f\15\11\ e\v\a\a\ 4\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,"\1f\15\11\v\a\ 4?96,("\1f\1fVPF<92/,`VSIC<96j`ZPMC?9md]SPFC<mg]VPIC<qg`VPIF?qj`ZSMI?wmd]SPIFwmj`VSPF{tj`ZSPI~tmd]VSP\81wqg`]VP\85~tj`]ZS\85{wjg`ZS\88\81wmj`]S\8b\85wqj`]V\8b\85{qjd]Z\8e\85~tjg`Z\92\85~tmj`]\95\88\81tmjg]\92\88\85wqjd]\95\8b\85wqjg`\95\8b\85{qmg`\95\8e\85{tmj`\98\8e\85{tqj`\98\8e\85~tmjd\98\92\85~tqjd\9c\92\88~wqjg\9c\92\88~wqjg\9f\92\88\81wqmg\9c\92\88\81{tmj\9f\92\88\81{tmg\9f\95\8b\81{tmj\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\98\8b\85{tmj\9f\95\8e\85{tqj¢\95\8b\85{tqj\9f\98\8e\85{tmj\9f\98\8b\85~wqj\9f\98\8e\85~wqj\9f\98\8b\85~wmj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\92\85~wqj¥\9c\92\85~wqj¥\98\8e\88~wqm¢\9c\8e\85~wtm¢\9c\8e\85\81wtj¢\9f\8e\88~wtj¥\9c\92\85\81wtj¢\9c\92\85\81wtm¥\9c\8e\88\81wqmá×ÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþñëá×ÿøîá×ÐÆÀîä×Íü¶¬á×ÊÀ¹¯©\9fÚÐù³©¥\9fÚÍù³©¢\9cÚÍù¯¥¢\98ÓÊÀ¹¯¥\9f\98×ʼ¹¬¥\9f\98Óʼ¶¬¥\9f\95Ðƹ¯©\9f\9c\92Íù¬¥\9f\95\8eʼ³¬\9f\9c\95\8eƼ¯©\9f\95\92\8bù¬¥\9f\95\8e\85ù¬¥\9c\92\8b\85¼¶©¢\95\92\88\85¼¶©\9f\95\8e\88\81¹³¥\9f\92\8e\85~¹¯¥\9f\95\8b\85~¹¯¢\98\92\8b\85~¹¬¢\9c\92\88\85{¹¬\9f\98\8e\88\85{¶©\9f\95\8e\85\81w³©\9f\95\8e\85\81w³©\9f\92\8b\85~w¯¥\9c\92\8b\85~t\95\88\81wqjg]VPF?962,("\e\15\ e\v\a\ 4\a\ 4\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,"\e\18\11\v\a\ 4C92/(""\eVPC<96/,dZPIC<96j`ZPIF?9md]VPIF?mj]VPIF?mj]ZPMF?tj`ZSPICtmd]SPMFwmj`ZSPI{tj`]VPM~wmg`ZSP\85{mj`ZVP\85{qjd]ZS\88~tjg`]V\8b\81tmj`]Z\8b\81wqjg]Z\8e\85{tjg`]\8e\85{tjg`]\8e\85~tmjd]\92\88~wqjd]\92\8b\81wqjg`\95\88\81wqjg`\95\8b\85{qjg`\98\8e\85~tmj`\98\8e\85{tmjd×Íù¯©\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøëáÚÓþñçÚÐÆÀ¹ëÝÓʼ¹¯©ÝÓƼ³¬¥\9f×ÍÀ¹¬©\9f\98Óʼ¶¬¥\9f\95Óʼ¶©¥\9f\95ÓƼ¶¬¢\9c\92ÓƼ³©¢\9c\92Ðƹ³©¢\98\92Íƹ¯¥\9f\98\8eÍö¬¥\9f\92\8eƼ³©\9f\98\92\8bƹ³¥\9f\98\8e\88ù¬¢\9c\92\8b\85¼¶¬\9f\95\8e\88\85¼³©\9f\95\8e\88\81¹³¥\9f\95\8b\85~¹¯¥\9c\92\8b\85\81¹¯¢\9c\92\88\85~¶¬¢\98\8e\88\81{¶¬\9f\95\8e\85~{³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯©\9f\95\88\85{w¯¥\9f\95\88\85{w¯¥\9c\92\88\85{w¯¥\9c\92\88\81{t¬¥\9c\92\85\81wt¬¥\9c\8e\85\81{t¬¢\98\8e\85\81wt¬¢\98\8e\85\81wt¬¢\95\8e\85~wt¬¢\95\8b\85~wt©\9f\98\8b\85~wq©\9f\95\8b\85~tq©\9f\95\8b\85~tq¬\9f\95\8b\85{tq©\9f\95\8b\85{tq©\9f\98\88\81{tm©\9f\95\88\81{tq¥\9f\95\8b\81{tm¥\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{qm©\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{qm¥\9f\92\88~{qm¥\9f\92\88\81{qm¥\9c\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88~{qm¥\9f\92\88~{qj¥\9f\92\88~{qm©\9c\92\88~wqm¥\9f\92\88\81wqj\88\81wmg`ZSPI?92/,("\1f\18\11\v\a\ 4\0\ 1\0\0\0\0\0\0\0\v\ 4\ 1\0\0\0\0\0"\1f\18\11\v\a\ 4\ 1<62,%"\1f\18SMC<66/,`ZSIF?96j`ZSPF?<mg]SPIC?mg`ZPMF?qj`ZPMF?qj`ZSMFCtmgZVPICwqg]ZPPI{qjdZSPI~wmd]ZSM\81wmj`ZVP\85{tjd]VP\85~tjd`ZS\85~wmg`]S\8b\85{qjd]V\8b\85{qjg]Z\8e\85{tmg`]\8e\85~tmj`]\92\88~wmj`]\92\88\81wqjg]\92\88\81wqjd`\95\8b\81wqjg`\95\8b\85{tmg`\98\8e\85{tmjd\9c\8e\85~tmj`\9c\8e\85~wmjg\9c\8e\85~wqjd\9f\92\88~wqjg\9c\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\95\88\85{tmg\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\88\85{tqj\9f\95\8b\85{tmj\9f\98\88\85~wqj\9f\95\8b\85{wqj\9f\95\8b\85{tmj¢\95\8b\85~wqj¢\98\8b\85~wqj¢\95\8b\85~wmj\9f\98\8b\85~tqj\9f\98\8b\85~wqj¢\9c\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wtj¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wqj¢\9c\8e\85\81wqm¢\98\8e\85~wqj¥\9c\8e\85~wtjáÓÆÀ¹¯©\9fÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûñçá×ÿøîá×ÍƼîä×Íƹ¶¬á×Êù¯©¢ÝÐù³©¥\9c×Íù¯©¢\98ÚÍù¯¥\9f\9c×Íù¯¥¢\98×ÊÀ¶¬¥\9f\95Óʼ³¬¢\9f\95Óƹ³©\9f\9c\92Íù¬¥\9f\98\8eÊÀ¶©¢\9c\92\8bƼ¯©\9f\95\92\88ƹ¬¥\9f\92\8e\85À¹¬¢\9c\92\8e\85¼¶©\9f\98\92\88\85¼³©\9f\95\8e\88\81¹¯¢\9f\95\8e\88\81¹¯¢\9c\92\88\85~¹¬¢\98\92\88\85~¹¬¢\95\92\88\85{¶¬\9f\95\8e\85\85{¶©\9f\95\8e\85\81w³©\9f\95\8b\85~w³©\9c\95\8b\85~w¯¥\9f\92\88\85~t¯¥\9c\92\88\81~t¯¥\9c\92\88\81{t¯¢\98\8e\88\81~t¬¢\98\92\88~wq¬¢\98\8e\85~wq¬\9f\98\8e\85~wq¬\9f\95\8e\85~{q¬¢\98\8b\85~wm¬\9f\95\8b\85~wm¬\9f\95\8b\85{wm©\9f\92\8b\85~wq©\9f\92\8b\85{wq©\9f\92\88\85{wm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tj¥\9c\92\88\81wqj¥\9c\92\88\81{tm¥\9c\92\88\81{tj¥\9c\92\88\81wqm¥\9f\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81{qm¥\9c\92\85~wtm¥\9c\92\88~{tm\88\81wmg`ZVPF?92/,("\1f\15\11\v\ 4\ 4\ 1\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 4\0<6/(%\1f\1f\18SIC<6//(`ZPIC<66j`ZPIF?9mg]VPFC?qg]VPIF?qj`VPMF?qj`ZPMICtjd]SPICwmj`ZSPF{tj`ZVPM~wmg]ZPP\81wmg`ZSP\85{tj`]VP\85~wjd]ZS\88\81wmg`ZV\8b\81wqjd]V\8e\85{qjg]Z\8e\85{tjg`Z\92\85~tmg`Z\8e\88\81wmjd]\92\88\81wqjd]\92\88\81wqjg`\92\8b\85wqjg`\95\8b\85{tmg`\98\8e\85{tmj`\98\8e\85~tmjd\98\8e\88~tmjd\9c\92\88~wmjd\9c\8e\88~wqjg\9c\92\88~wqjg\9c\92\88\81wqjg\9f\92\88\81wtmj\9f\92\8b\81{tmg\9f\95\8b\81{tmg\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\92\8e\85{tmj\9f\95\8b\85{tqj\9f\92\8e\85~tmj\9f\98\8b\85{tqj\9f\98\8e\85~wmj\9f\98\8e\85{tmj¢\98\8e\85~tmj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~tqj¢\9c\92\85~wqj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\9c\8e\85~wqm¢\9c\8e\88~wqm¢\9c\8e\85~wqj¢\9c\92\85~wtj¢\9c\92\85~wqjÝÓÊÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçÝÓþôëáÓÍƼîá×Íù³¬á×Êù¯©¢ÚÐƹ³¬¢\9f×Íù¯©\9f\98×Íù¯¥\9f\9c×ÍÀ¹¯¥\9f\98Óʼ¶¬¥\9f\95ÓƼ³©¢\9f\95Ðƹ¯©\9f\9c\92ÊÀ¹¬¢\9f\95\8eƼ³¬¢\9c\92\8bƹ¯¥\9f\95\8e\88ù¯¥\9f\92\8e\85À¹¬¢\9c\92\8b\85À¶©\9f\98\92\88\85¼³©\9f\95\8e\88\81¹³¥\9f\95\8b\85~¹¯¥\9f\92\88\85~¹¯¢\9c\92\88\85{¹¬\9f\98\8e\88\81{¶¬\9f\98\8e\88\81{³©\9f\95\8b\85~w³¥\9f\92\8b\85~w³©\9f\92\88\85~t¯¥\9f\92\88\85{t¯¥\9c\92\88\81{t¯¢\9c\8e\85\81{t¬¢\9c\8e\85\81wt¬¢\98\8e\85~wt¬¢\98\8e\85~wq¬¢\98\8e\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85~wq¬\9f\95\8b\85{wq©\9f\92\8b\85~wm©\9f\92\88\85{wm©\9f\92\8b\85{wq©\9f\92\8b\85{wm©\9f\92\88\81{wm©\9f\92\88\85{tm©\9f\92\8b\81{wm©\9f\92\88\81{tm©\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\92\85\81wtj¥\9f\8e\88\81{tm¥\9c\92\88\81wtm¥\9c\8e\88~wqm¥\9c\8e\85~wtj¥\9c\8e\85~wtj¥\9c\92\88~wtj¥\9f\8e\85\81wtj\88~wmg`]SPF?62/,%"\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\e\11\ e\a\ 4\ 1\0<6/,"\1f\e\15PI?96//,`ZPIC<96j`VPICC9jdZSMFC?mg]VPIF?qg]VPMF?qj`ZPPICtj`ZSPICwmg]VPPF{qj`ZVPI~tmg]VSP~tmg`ZSP\85{qjd]ZP\88~tjg`]S\88~tmj`]S\88\81wmjd]Z\8b\85wqjg`Z\8b\85{qjg`Z\8e\85~tmjd]\92\88~tmjg]\92\88~wqjg]\92\88\81wqjg`\92\88\81{qjj`\95\8b\85{tmj`\98\8b\85{tmj`\98\8e\85{tmjd\98\8e\85~wmjd\98\8e\85{tqjd\9c\92\85~wqjg\9c\92\88\81wqjg\9f\92\88~wqjg\9f\92\88\81wtmg\9f\92\88\81{tmj\9f\92\8b\81{tmg\9f\92\8b\81{tmj\9f\92\8b\81{tmg\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8e\85{tqj\9f\95\8b\85{wqj¢\95\8b\85~tqj\9f\95\8b\85~wqj\9f\98\8e\85~wmj\9f\98\8e\85{wqj¢\9c\8e\85~wqj\9f\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\92\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqjáÓÊÀ¶¯¥¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿøîçÝÓþñëÝÓÊƹëáÓÊù³¬áÓʼ¹¯©¢ÚÐƹ³¬¢\9c×Êù¯©\9f\9c×Êù¯¥\9f\98×Íö¯¥\9f\98×ÊÀ¶¯¥\9f\98ÓƼ¶¬¢\9f\92Óƹ³©¢\98\92Íù¬¥\9f\95\8eʼ¶©¢\9c\92\8bƼ³©\9f\98\92\88ù¯¢\9f\92\8e\85À¶¬¢\9c\92\8b\85¼³¬\9f\95\8e\88\85¼³©\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¥\9f\92\88\85~¹¯¢\9c\8e\88\81~¶¬¢\95\8e\88\81{¶¬\9f\98\8e\88\81{³©\9f\92\8e\85~w³©\9f\92\8b\85~w³©\9f\92\8b\85~w³¥\9c\92\88\85{w\92\88\81tmjd]VPF?96/,("\e\15\ e\v\ 4\ 4\a\ 1\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,"\1f\15\11\ e\a\ 4?92/("\1f\eVPF?96/,`ZSIC?96j`ZPMF?9jg]SPIC?mg]VPIF?qj`ZPMFCqj`ZSPICtjd]SPMFwmg`ZSPI{qj`]VPI~tmd]VSP\81wmj`]VP\85{qj`]VP\85~tjg]ZS\85~wmg`]V\88\81wmj`]V\88\81{mjd`Z\8e\85{qjg]Z\8e\85~tjg`]\92\88~wmj`]\92\88~wqj`]\92\88\81wmjg]\92\8b\81wqjd`\95\8b\85{qjg`\95\8b\85{tmjdÓÊÀ¶¬¥\9f\95ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñîÿÿþôëá×ÓûîäÚÐÆÀ¹çÚÐƼ¶¬©ÚÓù³¬¢\9cÓÊÀ¶¬¥\9f\98ÓƼ³©¢\9f\92Óƹ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ¯©¢\9c\92Ðù¯¥\9f\95\92ÊÀ¶¬¢\9f\98\8eʼ³©\9f\9f\92\8eƼ¯©\9f\95\92\88ù¬¢\9c\92\8b\85À¹¬¢\98\92\88\85¼¶©\9f\95\92\88\85¹³¥\9f\92\8e\85\81¹¯¢\9f\92\8e\85~¹¯¢\9c\92\8b\85~¶¬\9f\98\8e\88\85~³¬\9f\98\8e\88\81{³¬\9f\98\8e\85~{³©\9f\95\8b\85~w³©\9c\95\8b\85~w¯¥\9f\92\88\85~w¯¥\98\92\88\85{t¯¥\9c\92\85\85{t\92\88{tmj`]SPC?66/,(\1f\18\11\ e\v\a\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\ e\v\a\ 4?9/,%"\1f\eSPC<62/,`ZPI?<96j`ZPIC?9jdZSMFC<mg]SPFF?mg]VPIF?qj`ZPMICtj`ZVPICwmg]VPMF{qj`ZSPM{tjd]VPP\81wmg`ZSP\85{qjd]VS\85~qjg`ZS\88~tmg`]S\88\81wqjd]V\8b\81wqjd]Z\8e\85{tjg`Z\8e\85{tmgd]\8e\88~tmjd]\92\88~wqjd]\92\88\81wqjg`\92\8b\81wtjg`\95\8b\85{tmj`\98\8e\81{tmjdÓʼ¹¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôëá×ÓûîáÚÐÊÀ¹çÝÓƹ¶¯©ÚÓù¯¬¢\9fÓʼ¶¬¥\9c\98Óƹ³©¢\9c\95Ðƹ³¥¢\9c\92Óƹ³©¢\9c\92Ðƹ³©\9f\98\92Ðƹ¯¥\9f\98\92Êö¯¢\9f\95\8eÊÀ³¬¢\9c\95\8bƼ¯©\9f\95\8e\88ù¬¥\9c\95\8e\88À¹¬¢\98\92\8b\85¼³©\9f\95\8e\88\85¹³¥\9f\92\92\85~¹¯¥\9f\92\8b\85~¹¯¢\98\92\8b\85{¶¯¢\9c\8e\88\81{¶¬\9f\98\8b\88\81{³©\9f\95\8b\88\81{³©\9f\95\8b\85~w¯¥\9f\95\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{w¬¥\9c\92\85\85{w¬¥\9c\92\88\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85~wq¬¢\95\8e\85~wq©¢\95\8b\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85~wq©\9f\95\8b\85{tq©\9f\92\8b\85{tq¥\9f\95\8b\85~tq©\9f\92\88\81{tm¥\9f\92\8b\81{tm©\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88~{qj¥\9f\8e\88~{qj¥\9c\92\88\81{qj¥\9f\8e\88~wmj¢\9f\92\88~wqj¢\9c\92\88~{qj¢\9c\8e\88~{qm¥\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9f\8e\88~wqj¢\9c\92\85~wqj¢\9c\8e\88~wqj¥\9c\8e\88~{mj¢\9c\8e\85{wmm\85~tmd`ZSPF?92/,%"\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 4\0<6/("\1f\e\18PMC962/,`ZPMC<96j`ZPIFC<mg]SPIC<mg]VPMFCqj`VPMFCqjdZSPFCtjdZSPIFwmg]ZSPF{qj`]SPI~tmg]VPP\81wmg`]SP\85{qj`]VP\88~tjd]ZS\85~tmj`]S\88\81wqj`]V\8b\85wqjd]Z\8b\85{qjg`Z\8e\85{tjg`]\8e\85~tmj`]\92\85~wmjd]\92\88\81wqjd]\92\8b\81wqjg`\92\8b\81wqjg`\95\8b\85{tmg`\95\8e\85{tmg`\98\8e\85{tmjd\98\8e\85{tqjd\9c\92\85~wqjg\9c\92\88~tqjd\9c\92\85\81tqjd\9c\92\88\81wqjg\9c\92\88\81wqmg\9f\92\88\81{tjj\9f\92\88\81wtmj\9f\95\88\81{tmj\9f\95\8b\85{tmg\9f\92\8b\81{tmj\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85~tqj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\88\85~wqj\9f\95\8b\85~tmj\9f\98\8b\85{wqj\9f\95\8e\85~wqj¢\95\8e\85~wqj¢\98\8b\85~wqj\9f\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wtj¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqmáÓÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçÝ×þôçáÓÍƼîäÓÍù³¬á×ÊÀ¶¯©¢ÚÐù³©¢\9c×ÍÀ¹¯©\9f\98×ʼ¹¯©\9f\9cÓÍÀ¹¬¥\9f\98Óʼ¶¬¥\9f\98ÓƼ¶©¢\9c\95Ðƹ³©\9f\98\92Êù¬¢\9f\95\8eƼ³©\9f\9c\92\8bƹ¯©\9f\95\8e\88À¹¬¢\9c\92\8b\88¼¶©¢\98\92\8b\85¼³©\9f\95\8e\88\81¹³¥\9f\92\8e\88\81¹¯¢\9f\92\8e\85~¹¯¢\9c\92\8b\85~¹¬\9f\9c\8e\88\85~³¬\9f\9c\8e\88\81{³¬\9f\95\8b\88\81{³©\9f\95\8b\85~{¯¥\9c\92\88\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{t¯¥\98\92\88\85{t¬¢\95\8e\85\81{t¬¢\98\8e\85\81wt¬¢\95\8e\85\81wt¬¢\95\8e\85\81wt¬¢\95\8e\85~tq©¢\92\8e\85~wq©\9f\92\8b\85~tq©\9f\92\8b\81~wm©\9f\92\8b\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88~{qm¥\9f\92\88\81{qm¥\9f\8e\88\81{tj¥\9f\8e\88~{tm¥\9f\8e\88\81wqj¥\9f\92\88~wqm¥\9c\8e\88~{qm¥\9c\8e\88~{qj¥\9f\8e\88~wqj¥\9c\8e\85~wqm¢\9c\92\85~wqj¢\9c\8e\85~{qj¢\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wqm¢\9c\8e\88~{qm\85\81tmg`ZVPF?92/,("\1f\15\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\ 1\0\0\0\0\0"\e\18\11\v\a\ 4\ 1<6/,%\1f\e\18SIC96//,`VPFC<96j`VPIC?9jd]SMIC<mg]VPMC?mg`VPIF?qj`ZPPFCtjd]SPICwmg]VPMF{qj`ZSPI{tjd]VSM\81wmg`ZSP\85wqj`]VP\85{tjd]ZS\85~tmg`ZS\88\81wmg`]V\88\81wqjd]V\8b\85{qjg`Z\8e\85~tjg`]\8e\85~tjg`]\92\88~wmjd]\92\88~wmjg]\92\88\81wqjg`\95\88\85wtjg`\92\8b\85{tmg`\98\8b\85{tmj`\98\8e\85~tmjd\9c\8e\85~wmjd\9c\8e\88~wqjg\9c\92\85~wqjg\9c\92\85~wqjg\9c\92\88\81wqjg\9f\92\88\81wqmj\9f\92\88\81{tjj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\95\88\85{tmj\9f\95\8b\85{wmj\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8e\85{tmj\9f\98\8b\85{tqj\9f\95\8e\85~tmj\9f\95\8e\85{wmj\9f\95\8e\85{wqj\9f\98\8e\85{tqj¢\95\8b\85~wqj¢\98\8b\85~wqj\9f\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqjÝÓƼ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿøñÿÿÿûîçÝ×þôçáÓÐƼîáÓÊù³¯áÓÊÀ¹¯¥¢ÚÐü¯©\9f\9cÓÍÀ¹¬¥\9f\9cÓÊÀ¹¬¥\9f\95Óʼ¹¬¥\9f\98Óʼ¶¬¥\9f\95Óƹ³©¢\9f\95Íƹ¯©¢\95\92Êö¬¢\9f\92\8eƼ³¬\9f\98\92\8bù¯¥\9f\95\8e\88À¹¬¢\9c\92\8b\85¼¶¬¢\9c\92\88\85¹³©\9f\92\92\85\85¹¯¥\9f\92\8e\85\81¹¯¢\9f\92\8b\85~¶¯¢\9c\92\88\85~¶¬\9f\9c\8e\88\85{¶¬\9f\95\8e\88\81{³©\9f\98\8b\88~{³©\9f\95\8b\85~w¯¥\9f\92\88\85~t¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t\92\88~wmj`]VPF?96/,("\e\15\ e\v\ 4\ 4\a\0\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\11\ e\a\ 4?62,("\1f\eSMC<62/,`ZPIC?96j`VPIC?9jdZSMFC<mg]SPIC<mg]VPMF?qj`ZSMFCtj`]VPIFwmg]VSPF{qj`]SPI{tjd]VSM\81wmj`]VP\85{qj`]VP\85{tjd]ZS\85\81tmg`ZV\88\81wmjd]Z\88\81{qjd`Z\88\85{tjg`Z\8e\85{tmg`Z\8e\85~tmjd]\92\88~wmjd]\92\88~wqjg]\95\88\81wqjg`\95\8b\81{tmj`\95\8b\85{tmjdÓƼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôëÿÿþñëá×Ðøñá×ÍÆÀ¹çÚÐƼ¶¯©×Ðù¯¬¢\9fÓʼ¶¬¢\9f\98Óƹ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Óƹ³©¢\9c\92Íù¯¥\9f\98\8eÊù¬¢\9f\92\8eƼ³©\9f\98\92\8bƹ¯©\9f\98\8e\88ù¬¢\9c\95\8b\85À¶©¢\98\8e\88\85¹³¥\9f\92\8e\85\81¹³¥\9f\92\8e\85\81¹¯¢\9c\92\8e\85~¹¯¢\9c\8e\88\85~¶¬\9f\98\8e\88\81{³©\9f\98\8b\88\81{³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯©\9c\92\8b\85{w¯¥\9c\92\88\85{w¬¥\98\92\85\85{t¬¥\98\8e\85\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81{t©¢\95\8e\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85~wq©\9f\92\8e\85~wq©\9f\95\8b\85~wm¥\9f\92\8b\81{tm©\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9c\8e\88~{tm¥\9f\8e\88~{tm¥\9f\92\88~wtm¥\9f\8e\88~{tm¥\9c\8e\88~{qj¢\9c\8e\88~wqj¢\9c\8e\88~wqj¢\9c\8e\88~wqj¢\9f\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wmj¢\9c\8e\85~{qj¢\9c\8e\85~wqj¢\9c\8e\85~{qj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85{wqj¢\9c\8e\85~wqj\85~tjd]ZSPF<62/,%\1f\e\15\v\a\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 4\ 196/("\1f\e\18PIC962,,`ZPMC?96j`ZPIF?<jg]SPIC<mg]SPIFCmg]VPMF?qj]ZSPICtjd]SPICwjg]VPMFwqj`ZSPI{qjd]VPM\81wmg`ZSP\81wmj`]VP\85{qjd]ZS\85~tmg]ZS\88~tmg`]S\88\81wmjd]V\8b\85{qjd`Z\8e\85{tmg`Z\92\85~tmg`]\92\85~tmjd]\92\88~wmjd]\92\88\81wqjg`\95\8b\85wqmg`\95\8b\81{tjg`Óʼ¶©¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñçÿÿûñëáÓÐûîá×ÐƼ¹çÚÐƹ¶¬¥ÚÐù³©¢\9cÓƼ³¬¥\9f\95Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ¯©\9f\98\92Íù¯¥\9f\95\8eÊÀ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\98\92\88À¹¬¢\9c\92\8b\85¼¶©\9f\98\92\88\85¹³©\9f\95\8e\88\85¹¯¥\9f\92\8b\88\81¹¬¢\9c\92\8b\85~¹¬¢\9c\8e\88\85{¶¬¢\98\8e\88\85{¶©¢\95\8e\85\81w¶©\9f\95\8e\85\81w³©\9f\92\8b\85~w¯¥\9f\95\8b\85~t¯¥\9c\92\88\85{t¯¥\9c\8e\88\85{t¯¢\98\8e\85\81{t\8e\88~tmg`]SMF?66/,"\1f\e\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\ 4\ 4?62,("\1f\18SMC<62/,`ZPF?<92g]VPIC?9jdZPMF?<jdZSPIC<mg]SPIF?qj]VPMF?tj`ZSPFCtjd]VPMF{qj`ZSPF{tjd]VPM~wmg`ZSP\85{mj`]VP\85{tmd]ZS\85~tmg`ZS\88~tmjd]V\88\85wqjd]Z\8b\85{qjg]Z\8e\85{tjg`]\8e\85{tmj`]\8e\85~tmjd]\92\88~wqjg]\92\88\81wqjg`\92\8b\81{tjg`\95\8b\85{tmg`Óʼ¶¬¥\9f\9cÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûñëá×Ðøîá×ÍƼ¹çÚÍƹ¹¬¥ÚÐù¯©¢\9cÓʼ¶¬¥\9f\95Óƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ¯¥\9f\9c\95Íƹ¯¥¢\98\95Ðù¯¥\9f\95\8eÊÀ¶¬¢\9f\95\8bƼ³©\9f\9c\92\8bƹ¯¥\9f\95\8e\88ù¬¢\9c\95\8b\85¼¶©¢\98\92\88\85¼³¥\9f\92\8e\88\81¹³¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¶¬¢\9c\8e\88\81{¶¬\9f\98\8e\88\81{³¬\9f\98\8e\88\81{³©\9f\95\8b\85\81w³©\9f\95\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{w¯¥\9c\92\85\81{t¬¥\98\92\85\81{t\8e\88{tmj`]SPF?96//("\e\15\11\ e\v\ 4\a\ 4\0\0\0\0\0\0\15\ e\a\ 4\ 1\0\0\0,"\1f\18\11\ e\v\a?9/,("\1f\18SMC<62/,]ZPF?<96g]ZPIC?6j`ZPIFC9jdZSPFC?mg]VPIF?mj]VSIFCtj`ZSPICtmd]VPIF{qg]ZSPI~tjd]ZSM~tmd]ZSP\81wmg`]VP\85{qjd]ZP\85{tmg]ZS\88~wmg`]V\8b\81wqj`]Z\8b\85{qjd`Z\8e\85{qjg`Z\8e\85{qmgdZ\8e\88~tmjd]\92\88~wmjd]\92\88\81wmjg`\92\88\81wqjg`\95\8b\85{qjg`\95\8b\85{tmj`\98\8b\85{tmjd\98\8e\85{tmjd\98\8e\85~tmj`\98\8e\85~wqjd\9c\8e\85~wqjg\9c\8e\88\81wqjg\9f\8e\88\81wqjg\9c\92\88\81wtmg\9f\95\88\81wqmj\9f\92\88\81wtmj\9f\92\88\81wtmj\9f\92\88\81{tmj\9f\92\88\81{tmg\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\98\8b\81{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\88\85{tmj\9f\95\8b\85{tmj¢\95\8b\85{wqj\9f\95\8b\85{wqj\9f\98\8b\85~wqj\9f\98\8b\85{tmj\9f\95\8e\85{wqj\9f\98\8b\85~wqj\9f\95\8b\85~tmj\9f\98\8b\85~wqj¢\95\8e\85{wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqjÝÓƼ¶¬¥¥ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîçÝÓþôçÝ×ÍƼîáÓÊù³¬áÓÆÀ¹¯©¢ÚÐƹ¯©¢\98ÓÊÀ¹¬¥\9f\95ÓÆÀ¶¬¥\9f\95Óʼ¶¬¥\9f\98ÓƼ³¬¢\9f\95Ðƹ¯©¢\98\92Íù¯¥\9f\98\8eÊÀ¶¬¢\9f\95\8eƼ³©\9f\95\92\88ù¯¥\9f\95\8e\88À¶¬¢\9c\92\8b\85¼¶©\9f\98\92\88\85¹³¥\9f\95\8e\88\81¹¯¥\9f\95\8b\85~¹¯¥\9c\92\8b\85{¶¬¢\9c\92\88\85{¶¬¢\98\8e\88\81{³©\9f\95\8e\85\81{³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯¥\9f\92\8b\85~t¯¥\9c\92\88\81~t¯¢\9c\8e\88\81{t¯¢\9c\8e\88\81{t¬¢\98\8e\85\81{t¬¢\95\8e\85~wq¬¢\95\8b\85~wq©\9f\95\8b\85~wm©\9f\95\8b\85~wm©\9f\95\8b\85~tq©\9f\92\88\85{tm©\9f\92\88\85{tm©\9f\92\88\85{tm¥\9f\92\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tj¥\9c\8e\85~{tj¥\9f\92\85~wqj¥\9c\8e\88\81{qm¥\9c\8e\85~{qj¥\9c\8e\85~wtj¢\9c\92\88~wqm¥\9c\8e\85\81{tm¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj\85~tjg`ZSPF<62/,%\1f\e\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\15\ e\a\ 4\ 1\092,("\1f\e\15PF?96/,(`VPF?962g]VPIC?9jdZSPIC<md]SMIF?mg]VPIF?mj]VSPFCtj`ZPPICtjd]VPIFwqg`ZSPI{qj`]ZPM~tmg`ZSP\81wmj`ZVP\85{qjd]ZP\85~tjg]]S\88~wmj`]S\88\81wqjd]Z\8e\81{qjd`Z\8b\85{qjg`Z\8e\85{tmgd]\8e\85~tmjd]\92\88~wmjg]\92\88\81wqjg`\92\88\81{qjg`\92\88\81{tjj`\95\8b\85{tjj`\95\8b\85~tmjd\95\8b\85~tmjd\95\8e\85~tqjd\98\8e\85~tqjd\9c\92\85~wqjg\9c\8e\85~wqjg\9c\8e\85~wqjd\9c\8e\88\81wqmg\9c\92\85~{qjg\9f\92\88\81wtmg\9f\92\88\81wtjg\9f\92\88\81wtmj\9f\95\88\85{tmj\9f\92\88\81wtmg\9f\92\8b\81{tmj\9f\95\88\81{tmj\9f\92\8b\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tqj\9f\92\8b\85{tqj\9f\92\8b\85{wmj\9f\98\8b\85~wqj\9f\95\8b\85{tqj¢\95\8e\85{wqj\9f\95\8b\85{tqj¢\95\8b\85{tqj\9f\95\8e\85~wqj¢\95\8b\85~wqj\9f\98\8b\85~wqj\9f\98\8b\85~wqjÝÓƼ¶¯¥¹ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîçÝ×þñçÝÓÍùëáÓÊÀ¹¯©ÝÓÆÀ¶¯©\9fÓÍÀ¹¯©¢\9cÓʼ¶¬¥\9c\98ÓƼ¶¬¥\9f\95ÓƼ¶¬¥\9f\95ÓƼ³¬¢\9f\95Ðƹ³©¢\9c\92Íù¯¥\9f\95\92ÊÀ¶¬¢\9f\92\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\95\8e\88ö¬¢\9c\92\8b\85À³©\9f\98\92\88\85À³©\9f\95\8e\88\81¹¯¥\9c\92\8e\85~¹¯¥\9c\92\8b\85~¹¬¢\9c\8e\88\81{¶¬\9f\98\8e\88\81{³©\9f\95\8e\88\81w³©\9f\95\8b\85~w¯©\9c\92\8b\85~w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{w¬¢\9c\92\88\81{t¬¢\98\8e\88\81wt¬¢\95\8b\85\81{q¬\9f\95\8e\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85{wm©\9f\92\88\85{tq©\9f\92\8b\85{tm©\9f\92\88\85{tm¥\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¢\9c\92\88\81{qm¥\9f\92\85~wtm¢\98\8e\85~wqj¥\98\92\85\81wtm¢\98\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85{wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wmj\85{tjd]VSPF<62,,%\1f\e\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\096/%"\1f\18\15PF?96/,(]VPF?962j]SPFC?9jdZPMFC<mdZSPIC<mg]SPIF?qg`VPMF?qj`ZSMICtmd]VPIFwmg]ZSPI{qj`]VPM~wjd`ZSP\81wmj`]VP\85{qjd]ZS\85~tjg`ZS\88~tmjd]V\88\81wqjd]Z\8b\85{qjg]Z\8b\85{qmg`Z\8e\85~tjj`]\8e\85~wmjd]\92\88~wmjd]\92\88\81wqjg]\92\88\81wqjg`\92\8b\85{qjj`\92\88\85{qjj`\95\8b\85{tmj`\95\8e\85{tmj`\98\8e\85{tmjd\98\8e\85~wmjg\98\8e\85~wmjd\9c\8e\85~wqjg\9c\92\85~wqmg\9c\92\88~wqjg\9c\92\88\81wqmg\9c\92\85\81wqmj\9f\92\88\81wqjg\9f\92\88\81wtjg\9f\92\88\81{qmj\9f\95\88\81{tmj\9f\92\8b\85{tmj\9f\92\8b\85wtmj\9f\92\88\85{tmj\9f\95\88\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\98\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tqj\9f\95\8b\85~tqj\9f\98\8b\85{wqj\9f\98\8b\85~wqj\9f\95\8b\85{wqj\9f\98\8b\85{wqj\9f\98\8b\85~wqj\9f\98\8b\85~wqqÝÐƼ³¬¥ÆÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîçÝÓûôçÝÓÊùëáÓÊÀ¹³©ÝÓƼ¹¯©\9f×Íù¯©¢\9cÓʼ¶¯¥\9f\98ÓÊÀ¶¬¥\9f\98Óʼ¶¬¢\9f\95Óƹ³¬¥\9f\92Ðƹ³©\9f\9c\92Íù¯¥\9f\98\8eÊÀ¶¬¢\9f\95\8eƼ³©\9f\98\92\88ƹ¯¥\9f\95\8e\85À¶¬¢\9c\92\8b\85¼³©\9f\98\8e\88\81¹³¥\9f\95\8e\88\81¹¯¢\9f\92\8b\85~¹¬¢\9c\92\88\85{¶¬¢\9c\8e\88\81{³¬¢\98\8e\88\81{¶©\9f\95\8b\85\81w³©\9f\92\8b\85~w¯¥\9f\92\88\85~t¯¢\9c\92\88\85{t¯¢\98\92\88\81{t¬¢\98\8e\85\81{q\8e\85~tmj`]VMF<96/,%\1f\e\15\ e\v\ e\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0,"\e\15\11\ e\v\ 4?92,,%"\1fSMC<92/,`VPIC<96j`VPIC?9jdZSPFC<jdZSMIC?jg]VPMF?mg]VPMF?tj`]SPICtjd]VPIFwqj`ZSPF{tjd]VPM~tmg]ZSP\81wmg`ZVP\85{qj`]ZS\85~tjd]ZS\85~tmg`]V\88\81wqjd]V\8b\81wqjg]Z\8b\85{qjg`Z\8e\85{qmj`]\8e\85~tmj`]\8e\85~tmjd]\92\88\81wmjg]\92\88\81wqjg`\92\8b\85wqjgmÓƼ³©¢\9fÊÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûîçÿÿûñçáÓÍøîá×ÊƼ¶äÚÍƹ¶¬¥×ÍÀ¹¯©\9f\9cÐƼ³©¢\9c\92Íƹ³©¢\98\92Íƹ¯©¢\9c\92Íƹ¯©\9f\98\92Ðù¯¥\9f\98\92Êö¬¢\9f\95\92Ƽ³¬¢\9f\92\8bƹ¯¥\9f\9c\8e\8bù¬¥\9c\95\8b\88À¶©¢\98\92\88\85¹³¥\9f\95\8e\88\85¹³¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¶¯¢\9c\92\8b\85~¹¬\9f\98\8e\88\85{³©\9f\95\8e\85\81{³©\9f\95\8b\85\81w³¥\9f\95\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\81{t¬¢\95\8e\85\81{t¬¢\95\8e\85\81wq\8e\85{tmj`]SPC<62/,%\1f\18\11\v\v\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\e\15\ e\v\ 4\ 4?62,("\1f\ePIC962/,]VMFC962g]SPFC<9j`ZPMFC<j`ZSPFC<mg]VPIC?mg]VPMF?qj`ZPPFCtjd]VPMFwmg`ZSPI{qj`]VPM~tmg]ZSP\85wqj`]VS\85{qjd]ZP\85{tjg`ZS\88~wmg`]V\88\81wqjd`Z\8b\81wqjg]Z\8e\85{qjg`]\8e\85{tmj`]\8e\85{tmjg]\8e\85~tmjd]\92\88~wmjg]\92\88\81wqjg`\92\88\81wqjgtÓƼ³¬¥\9fÓÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûîçÝ×ÍøîáÓÊƼ¶ä×Íù¶¬¥×Ðù¯©\9f\9cÐƹ³©¢\9c\95Íƹ¯©¢\98\92Íù¯¥\9f\9c\92Ðƹ¯¥\9f\98\92Íö¯¥\9f\95\8eÊö¬¢\9f\98\8eÆÀ¶¬¢\9f\92\8bƹ¯©\9f\9c\92\88ù¬¥\9c\95\8e\88À¶¬¢\9c\92\8b\85¼³©\9f\98\8e\88\85¹³¥\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¶¬¢\9c\8e\88\81{¶¬\9f\98\8e\88\81{³¬\9f\95\8b\88~{¯©\9f\95\8b\85~w³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85~t¯¢\9c\92\88\81{t¬¢\98\8e\85\81{t¬¢\95\8e\85~{q\8e\85{tmj`]SPC<66//("\e\15\ e\v\a\ 4\a\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\11\ e\a\ 4?6/,%"\1f\ePI?<62/,]VPF?962g]SPF?<9j`ZPMF?9jd]SMIC?jg]VPIC?mg]ZPIF?qj`ZSPFCtjd]SPMFwmg`ZSPI{tjd]SPM~tmd]ZSP\81wmg`ZVP\85{qj`]VS\85{qjd]ZS\85~tmg`]V\88\81tmjd]Z\8b\81wqjd]Z\8b\85{qjg]Z\8e\85{tjg`]\8e\85~tmgd]\92\85~tmjd]\92\88~tmjg]\92\88\81wqjg`\92\88\81wqjg{ÓƼ¶©¥\9fÝÿÿÿÿÿÿûûÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿøîçÝÓÍôîÝÓÊƼ¶á×Íù³¬¢ÓÊÀ¹¯©\9f\9cÐƹ¯©¢\9c\92Íù¯¥\9f\98\92Íù¯¥\9f\98\92Íƹ¯¥\9f\98\92Íö¯¥\9f\95\92Êö¬¥\9f\95\8eƼ³©¢\9c\92\8bƼ³¥\9f\98\92\88ù¬¥\9f\95\8e\85À¶¬¢\98\92\8b\85¼³©\9f\98\8e\88\81¹³¥\9f\92\8e\85\81¹¯¢\98\92\8b\85~¹¬¢\98\8e\8b\85~¶¬\9f\98\8e\88\81{¶©\9f\95\8b\85\81{³¥\9f\95\8b\85~w¯¥\9f\95\8b\85~w¯¥\9c\92\88\85~w¯¢\9c\92\88\85{t¯¢\98\92\88\81{t¬¢\98\8e\85\81{t¬¢\95\8e\85~wt¬¢\95\8e\85\81wq©\9f\92\8b\85~wq©\9f\92\8b\85~tq©\9f\92\8b\85~wm¥\9f\92\8b\81~wq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\85{tm¥\9f\92\88\81{tm¢\9f\8e\88\81{tm¢\9c\8e\88~{qm¢\9c\8e\88~{tm¢\9c\8e\85~{tm¢\9c\8e\88\81{qj¢\9c\8e\85\81wqm¢\98\8e\85~wqj¢\98\8e\88~wqj¢\98\8e\85~wqj¢\98\8e\88~wqj¢\9c\8e\85{wqj¢\98\8e\85~wqj¢\9c\8b\85{wmj\9f\98\8b\85{wmj¢\9c\8e\85{wmj¢\98\8e\85~wqj¢\98\8e\85{wqj¢\98\8b\85~wqj¢\98\8b\85~wmj\9f\98\8b\85~wmj¢\98\8b\85{wqj\9f\98\8e\85{wmj\9f\98\8b\85{wmj\85~qjd]ZSMC<6//,"\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\ 192,("\1f\e\18PF?62/,,]VMF?<62g]VMFC?9j`ZPIFC<jdZSPFC?md]SPIC?mg]VPMFCqj`VSPICtjd]VPIFwmg]ZSPI{qj`]VPP~tjd]ZSP\81wmg`ZSP\85{qjd]ZS\85{tjg`]V\85~tmg`ZV\88\81wmj`]Z\8b\81wqjg]Z\8b\85{qjg]]\8b\85~tmj`]\8e\85{tmj`]\92\85~tmjd`\92\88~tmjd`\92\88\81wqjd`\92\88\81wqjg`\92\88\81wqjgd\92\8b\85{tmjd\95\8b\85{tmjd\98\8e\85{tmjg\95\8e\85~tmjg\98\8e\85{wqjg\98\8e\85{wqjg\9c\8e\85~wqjj\98\8e\85~wqjj\9c\8e\88~wqjg\9c\92\85~wqjj\9c\92\88\81{qjj\9c\92\85\81wtjj\9f\92\88\81wtmj\9f\92\88\81wtmj\9f\92\88\81wqmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\92\88\85{tmj\9f\92\88\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\81{wqj\9f\95\8b\81{wmm\9f\95\88\85{tqj\9f\95\88\85{tmj\9f\95\8b\85~wqm\9f\95\8b\85{tmj\9f\92\8b\85{wqm\9f\95\8b\85{wqm\9f\95\8b\85{wq\9cÚÐù³¬¥øÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäÝÓûñäÝÓÊùëáÓÆÀ¹³©áÓƼ¶¯¥\9f×Êù¯©\9f\98Óʼ¶¬¥\9f\98ÓƼ¶¬¥\9f\95ÓƼ¶¬¢\9c\95ÓƼ³¬¢\9f\95Ðƹ³©\9f\9c\92Íù¯¥\9f\95\8eʼ³¬¢\9c\95\8eƹ¯©\9f\98\92\88ù¬¢\9c\92\8e\85À¶©\9f\9c\92\8b\85¼³©\9f\95\8e\88\85¹¯¥\9f\95\8e\85\81¹¯¢\9f\95\8b\85~¹¯¢\9c\92\88\85~¹¬\9f\98\8e\88\85{¶¬\9f\95\8e\85\81{³©\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9c\92\8b\85{w¯¢\9c\92\88\81{t¬¢\9c\8e\85\81wt¬¢\98\8e\85\81{t¬\9f\98\8e\85~{t¬¢\95\8e\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85~wq©\9f\95\88\81{wm¥\9f\92\88\81{tq©\9f\92\88\81{tq¥\9c\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81{tm¥\9c\8e\88\81{tm¢\9c\8e\88\81wtm¥\9c\8e\88\81wqj¥\9c\8e\85~wtj¢\9c\8e\85\81wqj¢\9c\8e\85\81wqj¥\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8b\85~wqj¢\98\8b\85~wqj¢\9c\8b\85~wqj¢\98\8b\85~wqj¢\98\8e\85{tqj¢\98\8e\85{tqj¢\98\8e\85{wqj¢\95\8e\85~wqj\9f\98\8e\85~wmj¢\98\8e\85{wqj\85{qjd]VSMC<6//,"\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\v92,%\1f\1f\e"PI?96///]VMF?996g]VPIC?<j`]SMFC?jd]SPICCmg]SPMFCmg]VPMFFqj`ZSPIItj`]VPMIwmg`ZSPP{qjd]VSP~tjg]ZSS\81wmg`]SV\85{qj`]ZV\85{qjg`ZZ\85~tjg`]Z\88~wmg`]]\88\81wmjd]]\8b\81wqjg`]\8e\85{qjg]`\8b\85~tjg``\8e\85~tmj``\92\85~wmjdd\92\88~wqjgd\92\88\81wqjgg\92\88\81{qjgg\95\88\81wqmjj\95\8b\85{qmjj\95\8e\85{tmjj\98\8e\85{wmjj\98\8e\85~tqjj\98\8e\85~tqjj\98\8e\85~wqjj\98\8e\85~wqjm\9c\8e\88~wqjj\9c\92\85~wqjm\9c\92\88\81wqjm\9c\92\88\81wqmm\9f\95\88\81{tmm\9f\92\88\81{tmm\9f\92\88\81{tmm\9f\92\88\81{tmm\9f\92\88\81{tmm\9f\92\8b\81{tmq\9f\95\88\81{tqm\9f\92\88\85{tqq\9f\92\8e\81{tmq\9f\95\88\81{tmq\9f\92\88\85{tmq\9f\92\8b\85{wqq\9f\92\8b\85~tmq\9f\92\88\81{tqq\9f\95\8b\85{tqq\9f\95\8b\85{tqq\9f\95\8b\85{tqt\9f\95\8b\85{tqq\9f\95\8b\85{wq¬ÝÐƼ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿøîäÝÓþñçÝÓÊüëÝÓÊù³¬ÝÓƼ¶¯¥\9f×ÍÀ¶¯©\9f\9cÓʼ¶¬¢\9f\98Óʼ¶©¢\9f\95ÓƼ³¬¢\9f\98Ðƹ³¬¢\9c\92Ðƹ¯©\9f\9c\92ÍÀ¹¬¥\9f\98\92ʼ¶©¢\9c\92\8eƹ¯©\9f\98\8e\8bù¬¢\9c\92\8b\88¼¶¬\9f\98\92\88\85¼³©\9f\95\8e\88\85¹¯¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¶¬¢\9c\8e\88\81~¶¬\9f\98\8e\88\81{³©\9f\95\8e\85~{³©\9f\95\8b\85~{¯¥\9f\92\8b\85~w¯¥\9c\92\88\85~w¬¥\98\8e\88\85{t¬¢\9c\8e\88\81{t¬¢\95\8e\88\81{t\8e\85~tmj`]SPF?962/(\1f\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0(\1f\18\15\ e\v\ 4\18?6/,%"\1f,SM?<62/6]VPF?<6<g]SPFC<?j`ZPMF?Cj`ZSMFCCmdZSPICFmg]VPIFIqj]ZSPFMtjd]SPMPwmg]ZSPS{qj`]VPS~tmg]VSZ\81tmg`ZVZ\85wqj`]V]\85{qjg`Z]\85~wjg`]`\88~wmj`]`\8b\81wqjd]d\88\85wqjg`d\8e\85{qjg`g\8e\85{tmj`j\8e\85~tmjdj\92\85~wqjdj\92\88\81wmjdj\95\88~wqjg¬Ðƹ³©¥\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿøîäÝÓÍñëÝÓÊü¶áÓÊù³¬¥Óʼ¹¯©\9f\9fÐƹ³©¢\9c\95Êù¯¥\9f\98\95Íù¯¥\9f\98\95Êù¯¥\9f\98\92Êù¬¥\9f\95\92ÊÀ¶¬¢\9f\95\92Ƽ¶©¢\9f\95\8eƹ¯¥\9f\95\92\8bù¬¢\9f\95\8e\88À¶¬\9f\98\92\88\85¼³©\9f\95\8e\88\85¹¯¢\9f\92\8e\85\85¹¬¢\9c\92\8b\85\81¶¬\9f\98\8e\88\81~³¬\9f\98\8e\88\81{³©\9f\92\8e\85\81{¯©\9f\92\8b\85~{¯¥\9f\92\88\85~w¯¥\9c\8e\88\81{w¯¢\9c\92\88\85{w¬¢\98\8e\85\81{w¬¢\95\8e\85\81wt©¢\95\8e\85~wq\8b\85{qjg`]SMC<62/,"\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\a\ 4\ 1\0\0\0\ 4(\1f\e\15\ e\v\a\1f<6/,%"\1f/SIC<62/9]VPFC<6Cg]SPFC?Fj`ZPMFCFjdZPPFCImd]VPIFMmg]VPPFPqj]ZSPIPtj`]VPMSwmg]ZSPV{qj`]VPZ~tjd]ZS]\81wmg`ZS]\85{qj`]Z`\85{qjg]Zd\85~tmg`Zg\85~tmg`]g\88\81wmgd]j\88\81wqjg]j\8b\85{qjg`m\8e\85{qmj`m\8e\85~tmgdm\92\85~tmjdq\92\88~wmjgq\92\88\81wqjg¹ÓƼ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿþøîçÿÿûñäáÓÍôîÝ×Íƹ¹ä×Êù³¬¥×ÍÀ¹¯©\9f\9fÐƹ³©\9f\9c\95Ðù¯©¢\9c\98Íù¯¥\9f\98\95Íù¯¥\9f\95\98Êù¬¥\9f\95\95ÊÀ¶¬¢\9f\95\95Ƽ³©¢\9c\92\8eù¯¥\9f\95\92\8eù¬¢\9c\92\8e\88¼¶¬¢\98\92\8b\88¼³©\9f\95\8e\88\85¹¯¥\9f\92\8e\85\85¹¬¢\9c\8e\8b\85\85¶©\9f\95\8e\88\81\81³©\9f\98\8e\88\81~³©\9f\92\8b\85~~¯¥\9c\92\88\85~{¯¥\9c\92\8b\85~{¯¥\9c\92\88\85{{¬¢\9c\8e\85\81{w¬¢\98\8e\85\81{w¬¢\95\8e\88\81{t©¢\95\8e\85~wt\8e\85{tjg]ZSMC962,,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\v(\1f\e\11\ e\a\ 4(<6/,%"\1f6PI?96/,?]SPFC<6Fg]SPIC<Mj`ZPIF?Mj`ZPMFCMj`]SMFCPmg]SPIFPmj]ZPMFVqj`]VPIZwmg]ZPM]{qj`]SP`~tjd]ZPd\81wmg`ZSd\81wqjd]Vj\85{qjd`Zj\85~qmg`Zj\85~wmg`]m\88\81wqjd]q\8b\81wqjg]q\8b\85{qjg`q\8b\85{tmj`q\8e\85~tmjdt\8e\85~wmjdw\92\88~wqjgw\92\88\81wqjg¼Ðƹ¯©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþþÿÿÿÿþûîçÿÿûîçÝ×ÐøîÝÓÊƹ¹áÚÊù³¬©×ÍÀ¹¬©\9f\9fÐƹ³©¢\9c\9cÍù¯¥\9f\98\9fÍù¯¥\9f\98\9fÍù¯¥\9f\98\9cÍù¬¥\9f\98\9cʼ¶¬¢\9c\95\95ʼ¶©\9f\98\92\95ù¯¥\9f\98\92\92ù¬¢\9c\92\8e\8eÀ¶©\9f\9c\92\88\8b¹³¥\9f\95\8e\85\88¹¯¢\9f\92\8b\85\85¹¯¢\9c\92\8b\81\85¶¬\9f\95\8e\88\81\85¶¬\9f\98\8e\85\81\85³©\9f\92\8e\85~\81¯¥\9f\92\8e\85\81~¯¥\9c\92\88\85~~¯¢\9c\92\8b\85{~¬¢\98\8e\88\81{{¬¢\9c\8e\85\81{{©\9f\98\8b\85\81{{¬\9f\95\8b\85~wt\8b\85{qjg`]SPC<62/,%\1f\18\15\ e\v\a\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\ 4\0\0\0\15("\e\18\ e\ e\a/<62,("\1f<PIC962/F]VPFC<9Pg]SPFC<Pj`VPMF?Pj`ZPMFCSj`]SPICVmg]VPMCZqj]ZPMI]tj`]VPI`wmg]ZPPd{qj`ZVPj{tjd]ZPj\81wmg`]Sm\85{qjd]Vm\85{qjg`Zq\85{tmg`Zt\88\81tmj`Zt\88\81wqj`]w\88\85wqjg`w\8b\85{tjg`w\8e\85{qmg`{\8e\85{tmjd{\8e\85~wmjd~\92\88~wmjd~\95\85~wqjgÆÐƹ³¬¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîëÿÿøîäÝÓÐôëÝÓÊƹ¹á×Êù³¬¬ÓÊÀ¹¬©\9f¢Ðƹ¯©¢\98¢Íù¯¥\9f\98¢Íù¯¥\9f\98¢Êù¯¢\9f\98\9fÊö¬¥\9f\98\9fÊÀ¶©¢\9c\95\9cƼ³©¢\9c\92\95ƹ¯¥\9f\98\92\98À¹¬¢\9c\95\8e\95¼¶©\9f\95\8e\88\92¹³¥\9f\92\92\88\8e¹¯¢\9f\92\8e\85\8b¶¯¢\9c\92\8b\85\88¹¬\9f\9c\8e\88\81\88³¬\9f\98\8e\88\81\85³©\9f\95\8e\85\81\85³¥\9f\92\8b\85~\85³¥\9f\92\8b\85~\85¯¥\9c\92\88\85{\81¯¥\98\8e\88\85{\81¬¢\98\8e\85\81{~¬\9f\98\8e\85~{~©\9f\95\8e\85~w~©\9f\92\8b\85~w~©\9f\92\88\85~w~©\9f\92\88\85{t{¥\9f\92\88\81{w{¥\9f\92\88\85{tw¥\9c\92\88\81{t{¥\9f\92\88\81{t{¥\9f\92\88\81wt{¥\9c\92\88\81wtw¥\98\8e\85~wqw¢\9c\8e\85~wqw¢\9c\8e\85\81wqw¢\9c\8e\85~{tw¢\9c\8e\85~wqw¢\98\8b\85~wqw¢\9c\8e\85~wqw\9f\98\8e\85~wqw\9f\98\8e\85~wqw¢\98\8e\85~wqw¢\98\8e\85~wqw\9f\95\8b\85~wqt\9f\95\8e\85~wqt\9f\95\8b\85{wqt\9f\95\8b\85{tqt\9f\95\8b\85{wqt\9f\95\8b\85{tmw\9f\98\88\85{wmw\9f\95\8b\85{wmw\9f\95\8b\85{tqt\9f\95\8b\85{tmw¢\95\8b\85{tmw\9f\95\8b\85{wmj\85{qj`]VSIC<6//(%\1f\18\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\15\e\18\11\v\ 4\ 4\026/,%\1f\e\18IPF?92/,S]SPF?96Zg]SPF?<Zj`ZPMC?]j`ZSMFC]jd]SPIC`mg]VPIFdmg`VPMFgtj`]SPIjwmg]ZSMm{qj`ZVPq~tjd]VSt~wjg]]St\81wmj`]Vw\85{qjd`Z{\85~tjg`]{\88~tmgd]~\88~tmjd]~\8b\81wqjd]\81\8b\81{qjg`\85\8b\85{tmj`\85\8e\85~tmgd\85\8e\88~wmjg\85\8e\88~wqjg\85\92\88~wqjg\85\92\88\81wqjg\88\95\88\81{tjj\8b\95\8b\81{tmg\8e\95\8b\85{tmj\8e\98\8b\85{tmj\8e\98\8e\85{tmj\92\98\8e\85~tqj\92\9c\8e\85{wqj\92\98\8e\85~wqj\92\95\8e\85~wqj\92\9c\8e\85~wqj\92\9c\8e\85~wqj\92\9c\92\85~wqj\92\9c\92\85~wqm\95\9f\92\88\81wqm\92\9c\92\88\81wqj\95\9c\92\88\81{tm\98\9c\92\88\81{tm\95\9f\92\88\81wtm\98\9f\92\88\85{tm\95\9c\92\88\81{tm\95\9f\95\88\81{tm\98\9f\92\88\81{tm\98\9f\92\88\81{tm\98\9f\95\88\81{tm\95\9f\92\88\81{tm\95\9f\92\88\81{tm\98\9f\95\8b\85{wm\98\9f\92\88\81{tm\98\9f\95\8b\85~tm\9c\9f\92\88\85{tm\98\9f\95\88\85{tmÝÚÐù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñøÿÿþôëäÚÚûîä×ÓÆÀÆçÝÐƼ¹¯¹ÚÐƹ³¬¥¶Óʼ¶¬©\9f¶ÓƼ¶¬¥\9f¶Óƹ³¬¢\9c¶Ðƹ³¬¢\9f³ÐƼ¯¬¢\9c¯Ðù¯©\9f\98¯ÊÀ¶¬¢\9f\95¬Æ¼³©\9f\9c\92©Æ¹¯¥\9f\95\8e¢À¹¬¢\9c\92\8b\9f¼¶©\9f\98\92\88\9f¼³¥\9f\98\8e\88\9c¹¯¢\9f\92\8e\85\9c¹¬¢\9c\8e\8b\85\98¶¬\9f\98\8e\88\85\95¶©\9f\98\8e\85\81\92³©\9f\92\8b\85\81\95¯©\9f\92\8b\85~\92¯¥\9c\92\88\85~\92¯¥\9c\92\88\81{\8e¬¢\9c\8e\88\81{\8e¬¢\9c\8e\85\81w\8e¬\9f\95\8e\85\81w\8b©\9f\95\8b\85~w\8b©\9f\95\8b\85~w\88©\9f\92\8b\85~w\88¥\9f\92\8b\85~w\88¥\9f\92\88\85{t\88¥\9f\92\88\81{t\85¥\9c\92\88\81{t\85¢\9c\92\85\81{t\85¥\9c\92\85\81wt\85¢\9c\8e\88\81wt\85¥\98\8e\85~wt\85¢\98\8e\88~{t\85¢\9c\8e\85~wq\85¢\9c\8e\85~wq\85¢\9c\8e\85~wq\85¢\9c\8e\85~wq\85¢\98\8e\85~wq\85¢\95\8e\85~wq\85¢\98\8b\85~wq\85¢\98\8b\85{wq\85\9f\95\8b\85~wq\85\9f\98\8e\85~wm\85¢\98\8b\85~wm\85\9f\95\8b\85~wm\85\9f\95\8b\85{wq\85\9f\95\8b\85{wm\85\9f\95\8b\85{tm\85\9f\98\88\85{tm\85\9f\95\8b\85{tm\85\9f\95\8b\85{wm\85\9f\92\8b\85{tm\85\9f\95\8b\85{tmj\85{qj`]VPIC<6//("\1f\18\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\1f\1f\18\ e\v\ 4\ 4\0<62,%\1f\1f\18SPF<66/,`]SMF?96gd]SPFC<jj`VPMF?jj`ZSMFCjj`]SPIFjjg]VPMFqqg]ZPPFttjd]SPMwtmg]ZPP{{qjd]SP{~tjg]ZS\81\81wmg`]S\81\81wqj`]V\85\85{tjg]]\85\85{tmg`]\88\85~wmgd]\88\88~wmjd]\8e\88\85wqjd]\8e\8b\85{qjg`\8e\8e\85{tmg`\92\8e\85{tmg`\92\8e\85{tmjd\95\92\85~tmjd\98\92\88\81wqjg\95\92\88\81wqjg\95\95\88\81wqjg\9c\92\8b\81{tjg\9c\95\8b\85{tmj\9c\95\8b\85{tmj\9c\95\8e\85{tqj\9c\98\8e\85{tmj\9f\98\8e\85{tmj\9f\98\8e\85{wqj\9c\98\8e\85~wqj\9f\9c\8e\85~wqj\9f\9c\8e\85~wqj\9f\9c\8e\85~wtj\9f\9c\8e\85~wqj\9f\9c\8e\88~wtj\9f\9c\92\85~wqj\9f\9c\8e\85\81wtm¢\9c\92\88\81wtj\9f\9c\92\88\81{tm¢\9f\92\8b\81wtm\9f\9c\92\88\81{tm¢\9c\92\88\81{tm\9f\9f\92\88\81{tm\9f\9f\92\88\85{tm¢\9f\92\88\81{tm¢\9f\92\88\85{tm\9f\9f\92\8b\81{tq\9f\9f\95\8b\81{wq¢\9f\92\88\85{tm¢\9f\92\8b\81{tm¢\9f\92\88\85{tm¢\9f\95\8b\81{tqá×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôîäÚçûñçÚÓÊÃÓçÝÓʼ¹³ÊÝÐƼ³¬¥ÆÓʼ¹¬¥\9fÆÓƹ³¬¢\9fÆÓƹ³©¢\9fÆÐƼ³©¢\9cÃÐƹ¯©¢\98ÀÐù¯¥\9f\98¼Ê¼¶¬¢\9f\92\9c¬¢\9c\8e\85\81wtjd]SPIC<6/,%\1f\1f\18\18\e\11\ e\a\ 4\ 1\0("\1f\18\11\ e\v\ 4<6/,"\1f\e\15VPF<62/,j]VPI?<9qj`ZPMF?wmg]VPMFwqj`ZSPIwtj`]SPI{tj`]VPM{tmg]VSM~wmg`ZSP\81{qjd]VP\85~tjg]ZS\85\81wqg`]V\88\81{qjd]V\8b\85{tjg`]\8e\85~tmj`]\8e\88\81wmjd]\8e\88\81wqjg]\92\88\81{tjg]\92\8e\85{qmg`\95\8e\85{tmj`\98\8e\85{wmj`\9c\92\85~wmjd\9c\92\88~wmjd\9f\92\88\81wqjg\9f\92\88\81{qjj\9f\92\88\81wqjgÝÓƹ¶¬¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûîÿÿÿûñçÝÓçôîá×ÍƼÓä×Íù³¬Ê×ÊÀ¹¯©\9fÆÐƹ³©¢\9cÆÍù¯©\9f\98ÆÍù¯¥\9f\98ÆÍù¯©\9f\98ÆÍù¬¥\9f\98ÃÊÀ¹¬¥\9f\98Àʼ³©¢\9c\92¼Æ¹¯¥\9f\95\8e¹Ã¹¬¢\9f\92\8b¹¼³©\9f\98\92\88¶¹³¥\9f\95\8e\88³¹¯¢\9c\92\8b\85¯¹¬¢\9c\8e\8b\85¬¹©\9f\95\92\88\85¬³©\9f\95\8e\88\81©³©\9f\95\8e\85\81¥¯¥\9f\95\8b\85~¥¯¥\9c\92\88\85{¢¯¥\98\8e\88\81{¢¬¢\98\8e\88\81{¢¬¢\98\8e\88\81{¢©\9f\98\8e\85~{¢©¢\98\8b\85~w\9f©\9f\92\8b\85~w\9f©\9f\92\8b\85~t\9f©\9f\92\88\85{t\9f¥\9f\92\88\81{t\9f¥\9f\92\88\85{t\9f¥\9f\92\88\81{t\9f¥\9c\8e\88\81wt\9c¥\9c\8e\85~{t\9f¥\9c\92\85\81{t\9c¥\9c\8e\88~wt\9c¢\9c\8e\85~wq\9c¢\98\8e\85~wq\9c¢\9c\8e\85~wq\9c¢\95\8e\85~wq\9c¢\95\8e\85~wq\9c¢\95\8b\85~wq\9c\9f\98\8b\85~wm\9c¢\98\8b\85{tq\9c\9f\98\8b\85~tm\98\9f\95\8b\85{wm\9c\9f\95\8e\85{wm\9c\9f\95\8b\85{wq\9c¢\95\8b\85{tm\9c\9f\95\8b\85{tm\98\9f\95\88\81{tq\98\9f\95\8b\81{tm\98\9f\95\8b\85{wm\98\9f\95\8b\85{tm\98\9f\95\8b\85{tm\98\9f\95\88\85{tm\9c\9f\95\88\85{tm\98\9f\95\88\85~tm\98\9f\95\88\81{tm\98\9f\95\8b\81{tm\98\9f\92\88\81{tm\98\9f\95\8b\81{tm\95\9f\95\8b\81{tm\98\9f\92\8b\81{tq\98\9f\92\8b\81{tm\98\9f\95\88\81{tm\9c\9f\92\8b\81{tm\98\9f\92\8b\85{tm\98\9f\92\88\85{wm\9c\9f\95\8b\85{tm\9c\9f\95\8b\85{tm\98\9f\95\8b\81{tm\9c\9f\92\88\81wtm\9c\9f\92\8b\81{tm\9c\9f\92\88\85{tm\9c\9f\92\8b\81{tm\9c\9f\92\88\85{tm\9c\9f\95\88\81{tj\98\9f\92\88\81{tm\9c\9f\92\88\81{tm\98\9f\92\88\81{tm\9c\9f\92\88\81{tm\9c\9f\92\88\81{tm\9c\9f\92\88\81{tm\9c\9f\95\88\81wtm\9c\9f\92\88\81{tm\9c\9f\95\88\81{tm\9c\9f\95\88\81{tj\9c\9f\95\88\81{tm\9c\9f\95\88\85{wm\9f\9f\95\8b\85{tm\9f\9f\95\8b\81{tm\9f\9f\98\8e\85~wm\9f¢\98\8e\85~wq\9f¢\98\8b\85~wq\9f¢\98\8e\85~wq\9f\9f\98\8e\85~wq\9f¢\98\8e\85~wq\9f\9f\98\8b\85~wq\9f\9f\98\8e\85~wq\9f¢\98\8e\85~wq\9f¢\95\8b\85~wq\9f\9f\95\8b\85~wq\9f\9f\95\8b\85{tq\9f\9f\95\8b\85{tq\9f¢\95\8e\85{tq\9f\9f\95\8b\85~tm\9f\9f\95\8b\85~tq\9f\9f\95\8e\85~tq\9f\9f\95\8e\85{tm\9f\9f\98\8e\85{wm\9f\9f\98\8b\85{tq\9f¢\98\8e\85{wm\9f\9f\95\8b\85{wm\9f\9f\98\8b\85{wq¢\9f\98\8b\85{wm¢\9f\98\8b\85{wm\9f\9f\98\8b\85~wm\9f\9f\98\8b\85~wm¢\9f\95\8b\85{wm\9f\9f\95\8b\85{wm¢¢\98\8b\85{wm\81\85{qjd]ZSPC<6//,%\1f\18\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0(\1f\15\11\v\ 4\ 4\0C6/("\1f\e\18ZMC<62/,j]SMFC96tg]VPIC?tj`ZSPFCwjdZSPICwmg]SPMF{mg]VSMF~qj`ZSPF~tjd]VPP\85wmg`ZSP\85{qj`ZZP\88{qjg]ZS\8b\81wmj`ZS\92\81wmj`]V\8e\85{qjd]Z\92\85{tmg`Z\92\88~tmgd]\95\88~wqjd]\98\88\81wqjg]\9c\8b\85wqjg`\9f\8e\85{tmg`\9f\8e\85{tmj`\9f\8e\85~tmgd\9f\92\85~tmjd\9f\92\88~wmjg\9f\92\85\81wqjg¢\92\88\81wqjg¢\95\88\81wtjg¢\95\8b\81{qmj¢\95\8b\85wtmj¢\95\88\85{tmj¥\95\8e\85{tmj¥\98\8b\85~tqj¥\98\8e\85{tqj¥\98\8e\85{wqj¥\98\92\85~tmj¥\98\8e\85~wqj¥\98\8e\85~wqj©\9c\8e\85~wqj©\9c\8e\85\81wqj©\9c\92\85~wtj©\9c\92\88\81wtm©\9c\92\88\81wtm©\9c\92\85\81wqm©\9f\92\88\81{qm©\9c\92\88\81wtm©\9c\92\88\81wtm©\9c\92\88\85{tm©\9f\92\88\81wtm¬\9f\92\88\81{tm©\9f\92\88\81{tm¬\9c\92\88\85{tm©\9c\92\88\81{tm¬\9c\92\88\85{tm¬\9f\92\88\81{tm©\9f\92\8b\81{tm©\9f\92\88\85{tm¬\9c\92\88\85{tm¬\9f\92\88\81{tm¬\9f\92\88\81{wm¬\9f\92\8b\81{tq©\9f\92\88\85{wm¬\9f\92\88\85{tm¬\9f\92\8b\81{wm¬\9f\92\88\85{tq¬\9f\92\88\85{wm¬\9f\95\88\85{tm¬\9f\92\8b\85{wm¬\9f\92\88\85{tm¬\9f\92\88\85{tm¬\9f\92\88\85{tq¬\9f\95\8b\81{wm¬\9f\92\8b\85{tm¬\9f\95\8b\85{tm¬\9f\95\8b\85{tm¬\9f\92\88\85{tm¬\9f\95\88\85~tm¬\9f\92\88\85{tm¬\9f\95\88\85{tm¬\9f\92\8b\85~tq¬\9f\92\88\85{wq¬\9f\92\8b\85{wm¬\9f\95\88\85~tq¬\9f\92\88\81{tq¬\9f\92\8b\85{wm¬\9f\92\8b\85{tm¬\9f\92\88\85{tm¬\9f\92\8b\85{wq¬\9f\92\88\85{tm¬\9f\95\8b\85{wm¬\9f\92\88\85{wq¬\9f\92\88\81{wq¬\9f\92\8b\85{tq¬\9f\92\8b\85{wm¬\9f\92\8b\85{tq¬\9f\92\88\85{wq¬\9f\92\88\85{wq¬\9f\95\88\85{wq¬\9f\92\8b\85{tm¬\9f\92\88\85{tm¬\9f\95\8b\85{wm¬\9f\95\88\81{tm¬\9f\92\88\85{wm¬\9f\95\8b\85~tq¬\9f\92\8b\85{wm¬\9f\95\8b\85{tm¬\9f\95\8b\85~wq¬\9f\92\8b\85{tm¬\9f\95\88\85{wq¬\9f\95\88\85{tq¬\9f\92\8b\85{tm¬\9f\95\88\85{tm¬\9f\92\8b\85{wm¬\9f\92\88\85{tm¬\9f\95\88\85{wm¬\9f\92\8b\85{wm¬\9f\95\88\85~tm¬\9f\95\8b\85{tm¬\9f\95\8b\85{wm¬\9f\92\8b\85{wq¬\9f\95\8b\85{wq¬\9f\95\8b\85{wq³¥\9f\92\88\85~w¶©\9f\92\88\85~w¬\9f\98\8b\85{wq\9f\92\85~tmjd\92\85{qjg`]\88{tjd]ZS\85{qj`]VP\88{qj`]ZS\8b~wjg`]S\8e\81{qjd]Z\92\85{tjg`]\95\88\81wmjd]\9c\8b\85{qjg`\9c\8b\85{qmg`\9f\8e\85{tmj`\9f\8e\85{tmjd\9f\8e\85~tmjd\9f\92\85~tqjd¢\92\88~wqjd¢\92\88~wqjg¢\92\88\81wqmg¢\95\88\81{qmj¢\95\8b\81{tmj¥\92\8b\81{tmj¢\95\8e\85{tmj¥\98\8e\85{tmj¢\95\8e\85{tmj¥\98\8e\85~tqj¥\98\8e\85~wmj©\98\8e\85~wqj©\98\8e\85~wqj¥\98\8e\85~wtj©\9c\92\85\81wqm©\9c\8e\88\81wtj©\9c\8e\85\81wtj©\9c\92\85\81wtm©\9c\92\88\81wqm¬\9c\92\88~wtm©\9c\92\88\81{qm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¬\9f\92\88\81{tm©\9c\92\88\81wtm¬\9f\92\88\81{tq¬\9f\92\88\85{tm¬\9f\95\88\81{tm©\9c\92\88\81{tm¬\9f\92\88\85{wm¬\9f\95\88\85{tq¬\9f\92\8b\81{wq¬\9f\92\8b\81{wm©\9c\92\88\85{wm¬\9f\92\88\81{tq¬\9f\92\88\85{tq¬\9f\92\8b\81{tm¬\9f\95\88\85{tm¬\9f\95\88\85{tq¬\9f\92\88\81{tm¬\9f\92\88\85{tq¬\9f\95\88\81{wq¬\9f\92\88\81~wm¬\9f\92\8b\85{tm¬\9f\92\8b\85{wm¬\9f\95\88\81{tm¬\9f\95\88\85{tq¬\9f\92\8b\85{wm¬\9f\95\8b\85{wm¬\9f\92\88\85{wq¬\9f\92\88\81{tq¬\9f\95\88\81{wq¬\9f\92\88\85{wm¬\9f\95\88\85{tq¬\9f\95\8b\85{tm¬\9f\95\8b\85{wm¬\9f\92\88\81{wm¬\9f\92\8b\85{tq¬\9f\95\88\85{wq¬\9f\95\88\85{tmÝÐƹ¯¬¥\9fÿÿÿÿÿþûîÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøÿÿÿÿûôîäÿÿþôëá×ÓÿûîäÚÓÆÃþîäÚÍƼ¹øçÝÓÆÀ¹¯ñä×Íù³¬îá×ÊÀ¹³©îÝÓƼ¶¬©ëÚÐƹ³¬¢ä×ÊÀ¹¯©\9fäÓƼ³¬¥\9fáÐƹ¯©¢\9cÚÊÀ¹¬¥\9f\98×Ƽ³©¢\9c\92Óƹ¯¥\9f\95\8eÓù¯¢\9c\95\8eÐÀ¶¬¢\9c\92\8b͹³©\9f\98\8e\88ʹ¯¥\9f\95\8b\88ƹ¬¥\9c\92\8b\85ƹ¬¢\98\92\88\85ö©\9f\95\92\88\85À³©\9f\92\8e\85\85ó©\9f\95\8b\85~¼¯¥\9c\92\8b\85~¼¯¥\9c\8e\88\85{¼¯¢\9c\8e\88\85{¼¬¢\98\8e\85\81{¹¬\9f\95\8e\85\81{¹©\9f\95\8b\85~w¹©\9f\95\8b\85~w¹©\9f\92\88\85~w¹¥\9f\95\88\81{t¶¥\9c\92\88\85{t¶¥\9c\92\88\81{t³¢\9c\92\88\81{t³¥\9c\92\88\81{t³¥\9c\8e\85\81{t³¥\98\8e\85\81wt³¥\98\92\85\81{t¯¢\95\8e\85\81wt¯¢\98\8e\85\81wt¯¢\95\8e\85~wt¯¢\98\8e\85~wq¯¢\98\8e\85~wq¯¢\95\8b\85~wq¯¢\95\8e\85~wq¯\9f\95\8b\85{wq¯\9f\95\8b\85~wq¯\9f\95\8e\85~wm¯\9f\95\8e\85~wq¯\9f\98\8b\85{wm¯\9f\95\8b\85~tm¯\9f\95\8b\85{wm¯\9f\98\8b\85{tq¯\9f\95\8b\85{tm¯\9f\92\8b\85{tm¯\9f\92\8e\81{tq\8e\85{qjd]ZSMC96/,(%\1f\18\11\v\ 4\ 4\0\ 1\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0%\1f\15\ e\v\ 4\ 1\0?6/,%\1f\e\15VPF<92/,g]SPF?96qg]SPFC?tj`ZPIF?wjdZSPICwjdZSPICwmg]VPIF{qg]ZSMI~tj`]SPI\81tmg]VSP\85wqg`]SP\88{tjd]ZS\88~wmg]ZS\8e\81wqg`]V\8e\85{qjd]Z\92\85~tmg`Z\92\85~tjj`]\95\88~tmjd]\95\88\81wqjd]\98\8b\81wqjg`\98\8e\85{qjg`\9c\8b\85{tjj`\9c\8e\85{tmjd\9f\8e\85~wmjd\9f\92\85~wmjd\9f\8e\88\81wqjg\9f\92\88\81wqjg\9f\95\88\81wtmg\9f\92\88\81wqmg\9f\92\8b\85{tmj\9f\95\8b\85{tmj¢\98\8b\85~tmj¢\95\8b\85~tmj¢\95\8e\85{wmj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\98\8e\85{wqj¥\98\8e\85~wqm¥\98\8e\85~wqj¥\9c\8e\85~wqj¥\9c\8e\85~wqm¢\9c\92\85\81wqm¥\98\92\85~wqm¥\9c\92\85~wtj¥\9c\92\88~{qj©\9c\92\88~{tm¥\9c\92\88\81wtm¥\9f\92\88\81{tm©\9c\92\88\81wtm©\9f\92\88\81{tm©\9f\92\88\81wtm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9c\92\8b\85{tm©\9f\92\88\81{tmä×Êù³¬¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿûñçá×ÿøîá×ÐÆÀøäÚÐƼ¹¯ëÚÐƹ³¬¢äÓʼ¶¬¥\9fáÓƹ³©¢\9cáÐƹ³©¢\9fáÓƹ¯©\9f\9cÝÍù¯©¢\9cÝÍö¬¥\9f\98ÚÊÀ¶¬¢\9f\95Óƹ¯©\9f\9c\92Óù¯¥\9f\95\8eÐÀ¶©¢\9c\92\8bͼ³¥\9f\95\8e\88ƹ¯¥\9f\92\8b\85ƹ¬¢\9c\92\8e\85ƶ¬\9f\98\8e\88\85ö©\9f\95\8e\85\81ó©\9f\95\8e\85\81¼¯¥\9f\92\8b\85~À¯¥\9c\92\8b\85~¼¬¢\9c\92\88\85{¹¬¢\98\92\88\85{¹¬¢\98\8e\85\81w¹©\9f\95\8e\85\81w¹©\9f\92\8b\85\81w¹©\9f\92\8b\85~w¹¥\9f\92\88\85~w¹¥\9f\92\88\81~t¶¥\9f\92\88\81{t¶¥\9f\92\88\81{t¶¥\9c\92\88\81{t³¢\9c\8e\88\81{t³¢\9c\8e\85\81wt³¢\98\8e\85~{t³¢\98\8e\85\81{q¯¢\95\8e\85~wq¯\9f\98\8b\85~wq¯\9f\98\8b\85{wq¯\9f\95\8b\85~wq¯\9f\95\8b\85{tq¯\9f\95\8b\85~wm¯\9f\95\8b\85~wq¯\9f\95\8b\85{wm¯¢\95\8b\85~tq¯\9f\95\8b\85{tq¯\9f\95\8b\85{wq¯\9f\95\88\85{tm¯\9f\95\8b\85{wm¯\9f\95\8b\85{tm¯\9f\95\8b\81{tm¯\9f\95\8b\81{tm¬\9f\92\88\81{tm¬\9f\95\88\81{tm¬\9f\95\88\81{tm¬\9f\92\88\81wtm¬\9f\92\88\85{tm¬\9f\92\88\85{tm\92\85wmj`]VPIC96/,(%\1f\15\ e\v\ 4\ 1\0\ 1\0\0\0\0\0\0\0\v\ 1\0\0\0\0\0\0%\1f\15\11\a\ 4\ 4\0?6/,""\e\18VMF<62/,dZSMC?96jdZSPFC<qg]VPMF?tj`ZPMF?tjdZSPICtjd]SPMCwmg]ZSMF{qj`ZSPI~tjd]ZSP\85wqj`]VP\85{qjd]ZP\88~tjg`ZS\8b\81wmg`]V\8b\85wqjd]Z\8b\85{qjg]Z\8e\85{tmg`Z\92\85~tmjd]\92\88~wmjd]\95\8b\81{qjd`\95\8b\85{qmg`\98\8e\85{qmg`\98\8e\85{tmj`\9c\8e\85~tmjd\98\8e\85~tmjd\9f\92\88~tqjg\9f\92\88\81wqjd\9f\92\88\81{qjg\9f\92\88\81wqmg\9f\95\88\81wtmj\9f\92\88\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj¢\95\8b\85~tqj¢\95\8e\85{tqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\98\8e\85~tqj¢\98\8e\85~wqj¥\98\8e\85~wqj¥\98\8e\85~wqj¥\9c\8e\85~wtj¥\9c\92\85\81wtm¥\9c\92\88~wtm¥\9c\92\85\81{tj¢\9c\92\88\81{tm¥\9c\8e\85\81wtm¢\9c\92\88~{tm¥\9c\92\88\81{tm¥\9c\92\85\81{tm¥\9f\92\88\85wtm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tmä×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôëáÚÿûîä×ÓÆÃøçÝÐƼ¶¯ëÚÐù³©¢áÓƼ¶¬¥\9fÝÐƹ³¬¢\9fÝÐƹ¯¬¢\9cÝÐü¯©¢\9cÚÐù¯©\9f\98ÚÊÀ¹¬¥\9f\98Óʼ¶¬¢\9f\95Óƹ³©\9f\98\92Ðù¯¢\9f\95\8eÍÀ¶¬¢\9c\92\8bʼ³©\9f\98\8e\88ƹ¯¥\9f\92\8b\85ƹ¬¢\98\92\8b\85ö¬\9f\95\8e\88\85ö©\9f\95\8e\85\81¼³©\9f\92\8e\85\81¼³¥\9f\92\8b\85~¼¯¢\9f\92\88\85~¹¯¢\9c\8e\88\85~¹¬¢\98\8e\88\81{¹¬\9f\98\8b\85~{¹©\9f\98\8e\85~{¶©\9f\92\8b\85~w\9c\8b\85{qjj`ZSMC<62/,%\1f\18\15\ e\v\a\ e\ 4\ 1\0\0\0\0\0\18\11\v\ 4\ 1\0\0\0/(\1f\18\15\11\ e\aC<6/,(\1f\1fZPI?962,d]SMF?96mdZSMF?<mg]VPIC<qj`ZPIF?tj`ZSPFCtjdZSPICwmg]VPMF{qj`ZSPI~tjd]VPM\81wmg]ZSP\85wqjd]VP\85~tjg]ZS\88~tmg`]V\88\85wmj`]V\8b\85{qjd]Z\92\85{tjg`]\8e\88~tmgd]\92\88\81wmj`]\92\88\81wmjd]\95\8b\81{tjg`\98\8b\85{qmj`\95\8e\85{tmg`\98\8e\85~tmj`\9c\92\85~tmjdÚÍù¯¥\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîäÚÓþñäÚÓÊùîÝÓÆÀ¹¯©áÓƼ¶¬¥\9fÚÍÀ¹¬¥\9f\98×ʼ¶¬¢\9f\95×ʼ¶¬¢\9f\95×ʼ¶¬¢\9f\98ÓƼ¶¬¢\9c\92Óƹ³©¢\9c\92Ðƹ¯¥\9f\98\92Ðö¯¥\9f\95\8eÊÀ¶¬¢\9c\92\8bƼ¯©\9f\98\8e\8bƹ¯¥\9c\92\8b\85ù¬¢\9c\92\8b\85À¶¬\9f\95\8e\88\81¼³©\9f\95\8e\88\81¼³©\9f\92\8b\85\81¹¯¥\9f\92\8b\85~¹¯¢\9f\92\88\81~¹¯¢\9c\8e\88\81~¶¯¢\98\8e\88\81{¹¬\9f\98\8e\85~{¹©\9f\95\8b\85~w¶©\9f\95\8b\85~w¶©\9f\92\8b\85~w³©\9f\92\88\81~w³¥\9f\92\88\85{t³¥\9c\92\88\85{t¯¢\9c\92\85\81{t¯¥\98\92\85\81wt¯¢\98\8e\85\81wq¯¢\95\8e\85\81wt¯¢\98\8e\85\81wt¯¢\95\8e\85~wt¬¢\95\8e\85~wq¬¢\95\8e\85~wq¬¢\95\8b\85~tq¬¢\95\8e\85{tq¬\9f\95\8e\85~wq©\9f\95\8b\85{tq¬\9f\95\8b\85~wq¬\9f\95\8b\85{tq©\9f\95\8b\81~tq¬\9f\92\88\81~tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\92\8b\81{tm©\9f\92\88\85~tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\92\8b\81{tm©\9f\92\88\81{qm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm\8b\81wmg`]VPF?92/,%"\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/,%\1f\e\15SMC96//(`ZSIF?96j`]SIF?<mj]SPIC?mj`VPMF?qj`ZPMFCtj`ZSPICwmd]VPIF{qj]ZSPI{tj`]SPI\81wmg]ZSP\85{qj`]VP\85~tjg]ZS\88~wmj`]S\88\81wqjd]Z\8b\85{qjd]Z\8e\85{qmg]Z\8e\85~tmg`]\92\88~wmjd]\92\88\81wqjd]\95\88\85wqjd`\95\8b\81{tjg`\95\8e\85{tjg`\95\8b\85{tmj`\95\8e\85{tmj`\9c\8e\85~wmjd\9c\92\88~wqjg\9f\92\88~wqjg\9c\92\88\81wqjg\9f\92\8b\81wqjg\9f\92\8b\81{tmg\9f\92\8b\85{tmg\9f\95\8b\85{tmj\9f\98\8b\85{tmj\9f\98\8b\85{tmj\9f\95\8b\85{wqj¢\95\8e\85~tmj\9f\95\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\8e\88~wqj¢\9c\8e\85~wqm¢\9c\8e\85~wtm¢\9c\8e\85~wqm¢\9c\92\85\81wtm¢\9f\92\88\81wtj¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81wtm¥\9c\92\88\81{tm¢\9c\92\88\81{tmá×ÊÀ¹³©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøñÿÿÿûñëáÚÿøîá×ÐÆÀñçÚÐƼ¶¬ä×Íù³¬¢ÝÓƼ³¬¥\9fÚÓƹ³¬¢\9fÚÐù³©\9f\9fÚÐù¯©\9f\9c×ÐÀ¹¯¥\9f\98ÓÊÀ¹¬¥\9f\98ÓƼ³©¢\9c\92Ðƹ¯¥\9f\9c\92Íù¯¢\9f\95\8eƼ³¬\9f\9c\92\8bü¯©\9f\95\8b\88ù¯¢\9c\95\8b\85À¹¬¢\98\92\8b\85¼¶©\9f\95\8e\88\85¼¶©\9f\95\8e\88\81¼³¥\9f\92\8b\85\81¹¯¥\9f\92\88\85~¹¯¢\9c\92\88\85{¹¯¢\9c\92\88\85{¹¬\9f\98\8e\88\81{¶¬¢\98\8b\85\81{³¬\9f\95\8b\85~{³©\9f\95\8b\85{w³©\9f\92\88\85~w¯©\9f\95\88\85{w¯©\9f\92\88\85{t¯¥\9c\92\88\81{t¯¥\9c\8e\88~{t¯¢\9c\8e\88~{t¬¢\9c\8e\85~wq¬¢\98\8e\85\81wt¬¢\95\8e\85\81wt©¢\95\8e\85~wt©¢\95\8e\85~wt©\9f\95\8e\85{wq©¢\95\8b\85~tq©\9f\95\8b\85~wq©\9f\98\8e\85~tq¬¢\95\8b\85~wq©\9f\92\8b\85{tq©\9f\92\8b\85{tm©\9f\92\8b\85{tm©\9f\95\88\81{tm©\9f\95\88\85{tm©\9f\95\8b\81{tm©\9f\92\88\81{tm©\9f\95\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88~{tm©\9f\95\88\81wtm\8b\81wmj`]VPF?96/,("\e\15\ e\v\ 4\ 1\0\ 1\0\0\0\0\0\0\0\ 4\ 4\0\0\0\0\0\0"\1f\15\ e\v\ 4\ 1\0<6/,%\1f\e\15SMC962/,dZSMF<96j`ZSMFC<mj]VPIF?qj`ZPMFCqj`ZSPICtjdZSPICwmg]VPMFwqj]ZSPF~tj`]VPP\81wqg`ZSP\85{qj`]VP\85~tjd]]S\88~tmg`]V\8b\81wmj`]V\8b\85{qjd]Z\8e\85{tjg`Z\92\85{tjg`Z\8e\88~wmj`]\92\88\81wmjd]\92\8b\81wqjg`\95\8e\85wtjg`\95\8b\85{tmg`\9c\8e\85{tmj`\98\8e\85~wmj`ÚÍù¬©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôëÿÿþøîäÚÓûñçÚÐÆùëÝÓÆÀ¶¯©ÝÓƼ³¬¢\9f×Êö¬¥\9f\98Óʼ¶¬¢\9f\95ÓÊÀ¶¬¥\9f\95ÓÊÀ³¬¢\9f\95ÓƼ³¬¢\9f\92ÓƼ¯©¢\9c\92Ðù¬¥\9f\9c\8eÊÀ¹¬¥\9c\95\8eƼ³©\9f\95\92\88ƹ³©\9f\95\8e\88ù¬¢\9c\92\8b\85À¶¬¢\98\92\8b\85¼³©\9f\98\8e\88\81¼³©\9f\95\8e\88~¹¯¥\9c\92\8b\85~¹¬¥\9f\92\8b\85~¶¬¢\9c\92\88\85~¶¬¢\95\8e\85\85{¶©¢\95\8e\85\81{¶©\9f\95\8e\85~w³©\9f\92\8b\85\81w³©\9f\92\8b\85~w³¥\9f\92\8b\85~w\92\88\85wmjd]VPI?96/,("\e\15\11\v\ 4\ 1\a\ 4\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,"\1f\15\11\v\a\ 4?92/(%\1f\1fVPF<66/,dZPIC<96j`ZPIF?9jg]SMFC<mj]SPMC?mj`VPMF?qj`ZSPICtmg]VPIFwmg]ZSMF{tj`]VPM\81tmg]ZPI\85wqj`ZSP\85{qjd]ZS\85~wmg`ZS\88\81wmj`]V\8b\85wqjd`Z\8e\85{tjg`Z\8e\85{tmg`]\92\85~wmj`]\92\88\81wqjd]\95\8b\81wqjg`\98\8b\81wqjg`\98\8e\85{qmg`\98\8e\85~tmjd\98\8e\85{tqjd\9c\8e\85{wqjg\9c\92\85~wqjg\9c\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81wtmg\9f\95\88\81{tmj\9f\92\8b\85{tmg\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj¢\98\8b\85{tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqm¢\9c\8e\85~wqj¥\9c\8e\85~wtj¥\9c\8e\88~wtm¢\9c\8e\85~wtm¢\9c\8e\88\81wtj¢\9c\92\88\81{tm¥\9c\92\85~wqm¢\9c\92\88\81{tm¥\9c\92\88~{tmä×ÍÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçá×þôîá×ÍƼîä×ÊƼ¶¯ä×Íù¯¬¢ÝÓƹ³¬¢\9fÚÐù¯©¢\9c×Íù³¥\9f\98×Ðù¯¥\9f\9c×Íù¬¥\9f\98ÓÊÀ¶¬¥\9f\95ÓƼ³©\9f\9c\92Ðù¯©\9f\98\8eÊÀ¶¬¢\9f\95\8eʼ³©\9f\9c\92\88Ƽ¯¥\9f\95\8e\88ù¬¢\9c\92\8b\85À¶¬¢\98\92\8b\85¼¶©\9f\95\92\88\85¹³©\9f\95\8e\85~¹¯¥\9f\92\8b\85~¹¯¥\9c\92\88\85{¹¯¢\9c\92\88\85{¹¬¢\95\8e\88\81{¶¬\9f\98\8e\85\81{³¬\9f\95\8b\85~w³©\9f\95\8b\85~w³©\9f\92\8b\85~t¯¥\9f\92\88\85~w¯¥\9f\92\88\85{w¯¥\9c\92\88\81{t¬¥\9c\8e\88\85{t¬¢\9c\92\85~wt¬¢\98\8e\85~{q¬¢\98\8e\85\81wq¬¢\98\8e\85~wq¬¢\98\8b\85~wq¬¢\98\8b\85~wq¬\9f\98\8b\85~wq©\9f\95\8b\85{wq¬\9f\95\8b\85~wq©\9f\95\88\85~wq©\9f\95\8b\85{wq©\9f\95\8b\81{wq©\9f\95\8b\81{wm©\9f\98\88\85{wm©\9f\95\88\85{tm©\9f\92\88\85~tm©\9f\92\88\81{wm©\9f\92\88\85{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81wtm¥\9f\95\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\8b\81{tj¥\9f\92\88\81wtm¥\9f\95\88\81wtm¥\9f\92\88\81wtj\88\81wmg`]SPF?92/,(%\1f\15\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/("\1f\e\15SIC<62/,`ZSIC<96j`ZPMF?<mg]VPIC?qj]VPMF?qj`ZPPFCtjdZSPMCtjd]VPMFwmj`ZSPI{tj`]VPI\81wmd`ZSM\85{qj`]VP\85{qjd]ZS\85~tjg`]S\8b\81wmj`]V\8b\85wqjd]Z\8e\85{qjg`]\8e\85~tjg`]\8e\85~wmj`]\92\88\81wqjd]\92\8b\81wqjg]\95\88\81{tjg`\95\8b\85{tjg`\95\8b\85{tmj`\98\8e\85{tmjd\9c\8e\85{tmjd\9c\92\88~wmjg\9c\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\81wtjg\9f\92\88\81wqjj\9f\95\8b\81{tmj\9f\95\88\81{tmg\9f\95\8b\81{tmj\9f\92\8b\85{tmj\9f\98\8e\85{tmj\9f\95\8b\85{tqj¢\98\8e\85{tmj¢\95\8b\85{tmj¢\98\8b\85~wmj\9f\98\8e\85~wmj¢\95\8e\85~wqj¢\98\8e\85~wqj\9f\95\8e\85~wtj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wqj¢\98\92\85\81wqj¢\9c\8e\85~wqj¢\9c\92\85\81wtm¢\9c\92\85~{qj¥\9c\8e\85~wqm¢\9c\92\88\81{tj¢\9c\92\88\81wtm¢\9c\92\85\81{tm¢\9c\92\88\81wtmÝÓÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûñçá×ÿøîá×ÍƼîäÚÍü¶¬ä×Íù³©¢ÝÓƼ³¬¢\9fÚÐƹ¯©\9f\9cÚÐù¯©\9f\98ÚÍù¯©\9f\98×Íù¯¥\9f\98Óͼ¶¬¢\9f\95ÓƼ³¬\9f\9c\92Íù¯¥\9f\98\92ÊÀ¹¬¢\9c\92\8bƼ³©\9f\98\92\88ƹ¯¥\9f\95\8e\88ù¬¢\9f\92\8b\85À¶¬\9f\9c\8e\88\85¼³©\9f\98\8e\88\81¼¯¥\9f\92\8b\88\81¹³¥\9c\92\8b\85~¹¯¥\9c\92\88\85~¹¬¢\9c\8e\88\85{¶¬¢\98\8e\88\81w¶¬\9f\98\8e\85\81w³©\9f\95\8b\85\81w³©\9f\92\8b\85~w¯©\9f\92\88\85~w\92\88~wqjg]ZPFC96//,"\1f\18\11\ e\a\ 4\a\ 1\0\0\0\0\0\0\18\ e\v\ 4\ 1\0\0\0,(\1f\18\15\11\v\aC<6/,%"\1fVPI?962/dZSMF?96j`]SPFC<mg]VPIF?qj]VPMFCqj`ZSMI?qj`ZSPICtmg]VPMF{qg`ZPPF{qj`]VPI\81wmd]VPP\85wqj`ZVP\85~tjd]ZP\88~tmg`ZV\88\81wmj`]V\8b\85{qjd]V\8e\85{qjd`Z\92\85{tmg`]\8e\88{tmj`]\92\88~wmjd]\92\88\81wqjd]\95\8b\81wqjg`\95\8b\85{tmg`\98\8e\85{tmj`\9c\8e\85{tmjd×Íù¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøëäÚÓûñäÚÐÆÀ¹çÝÓƼ¹¯©ÝÓƼ³¬¥\9fÓÍÀ¹¬¥\9f\95Óʼ¹¬¥\9f\95Óʼ¶¬¥\9f\95Óʼ¶¬¥\9f\95ÓƼ¶¬¢\9c\95Íƹ³©¢\95\92Íù¯¥\9f\95\92ÊÀ¶¯¢\9f\92\8eƼ¯©\9f\9c\8e\8bù¯¥\9f\95\8e\88À¹¬¢\9c\95\8b\85¼¶¬¢\98\92\88\85¼¶©\9f\98\92\88\81¹³¥\9f\92\8e\85\81¹³¢\9f\92\8b\85~¹¯¢\9c\92\8b\85~¶¬¢\9c\8e\88\85{¶¬\9f\98\8e\88\81{¶¬\9f\98\8b\88\81{¶©\9f\98\8b\85~w³©\9f\95\8b\85~w³¥\9c\95\88\85~w¯©\9c\92\88\85{t¯¥\9c\92\88\85{t¯¥\9c\8e\88\85{t¬¥\95\8e\85\81{t¬¢\98\8e\85\81wt©¢\98\8e\85~wq¬¢\95\8e\85~wt¬¢\98\8e\85~wq¬¢\95\8b\85~wq¬¢\95\8b\85~tq©\9f\92\8e\85~wq©\9f\95\8b\81~tm©\9f\95\8b\85~wm¥\9f\92\8b\81~tm¥\9f\92\8b\81~tm¥\9f\95\8b\81~tm¥\9f\92\88\85{tm¥\9f\95\8b\85{tm¥\9f\92\8b\81~tm©\9f\95\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88~{qm¥\9f\92\88\81{tj¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88~wqj¥\9f\92\88~wtm\88\81wqg`]SPF?92/,("\e\18\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/("\1f\e\15SIC96//,`ZPI?<96j`ZPMF?<mg]SPFC<qg]VPMF?qj`ZSMFCqjdZSPFCtjgZSPICwmj]ZPMF{qj`ZSPM\81tmg]VSP\81wqj`]VP\85{tj`]ZS\88~wjg]ZS\88\81wmj`]V\8b\81wqjd]Z\8e\85{qjd]Z\8e\85~tmg`]\92\85\81tmjd]\92\88~wqjd`\92\88\85wqjg`\95\8b\81{qjg`\95\8b\85{tmjd\95\8b\85{tmj`\98\8e\85{tmj`\9c\8e\85~tmjd\9c\92\88~wqjg\9c\92\88\81wqjg\9c\92\88~wqmg\9f\92\88~wqjg\9f\92\8b\81{qjg\9f\92\8b\81wtjg\9f\95\88\81{qmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tqj\9f\98\8e\85{tmj\9f\98\8e\85{tqj\9f\98\8b\85~tmj\9f\98\8e\85~tqj\9f\98\8e\85~tqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\88~wtm¢\98\8e\88~wqj¢\9c\8e\85~wqj¥\98\8e\85~wtj¢\9c\8e\88\81{qj¥\9c\8e\85~{qj¢\9c\8e\85~{tm¢\9c\8e\85\81{tmÝÓÊÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøñÿÿÿûîëá×þôëáÓÍƼîäÓÍù¶¬á×ÊÀ¹¯¬¢ÚÐƹ³©¥\9c×Íù¯¥\9f\9c×ÍÀ¹¯¥\9f\98ÓÊÀ¹¯©¢\95×ÊÀ¶¯¥\9f\98Óʼ¶¬¥\9f\95Óƹ³¬¢\9c\92Ðù¯¥\9f\98\8eÊÀ¶¬¢\9c\92\8eƼ¯©\9f\98\92\88ƹ¯¥\9f\92\8e\88ù¬¢\9c\92\8b\85À¶©\9f\9c\8e\88\85¼³©\9f\92\8e\88\81¹³¥\9f\92\8e\85~¹¯¥\9c\95\88\85~¹¯¢\9c\92\8b\85~¹¬¢\98\8e\88\81{¶¬\9f\95\8e\88\81{¶©\9f\95\8e\85\81w¶©\9f\95\8e\85~w³©\9f\92\8b\85~w³©\9f\92\88\85~t¯¥\98\92\88\85~t¯¥\9c\8e\88\85{t¯¥\9c\8e\88\81{t¬¢\98\8e\88\81wq¬¢\98\8e\85\81{q¬¢\98\8b\85~wq¬¢\95\8e\85~wq¬¢\95\8b\85~wq¬\9f\95\8b\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85{wq©\9f\92\8b\85~tm©\9f\92\88\85{tm©\9f\92\8b\85{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm©\9f\92\88\85{tm¥\9f\92\8b\81{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81wtm©\9f\92\88\81wtj©\9f\92\88\81wqj¥\9f\92\88\81wtj¥\9f\92\85\81wtj¥\9f\92\85\81{tj¥\9c\92\88~wtj\88\81wmg`]SPF?92/,%"\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\096/("\1f\e\15SIC<6//,dZPIC<96j`ZSIF?<mg]SPIC<mj]VPIF?qg`ZPMF?tjdZSMICtjd]SPMCwmg`ZSPF{tj`]VPM~wmg]ZSP\81wqj`ZSP\85{qjg]ZS\85~tmg`]V\88\81wmj`]Z\8b\81wqjd]Z\8b\85{qjg`]\8e\85~tmg`]\8e\85~wmj`]\92\88\81wmjg]\92\88~wqjg]\95\88\85wqjg`\95\8b\85{qmg`\95\8b\85{tmg`\98\8b\85{tmj`\98\8e\85{tmjd\98\92\85~tmjd\9c\92\85~tqjd\9c\92\88~wqjg\9c\92\88~wqjg\9f\92\88\81wqjg\9f\95\88\81wtmg\9f\95\8b\85wtmg\9f\95\8b\81{tjj\9f\95\8b\81wtmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj\9f\95\8b\85~tmj\9f\95\8e\85~tqj\9f\95\8e\85{wqj¢\98\8e\85{tqj¢\98\8e\85{wqj¢\98\8e\85~wmj¢\98\92\85{wqj¢\98\8e\85\81wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqm¢\9c\92\88~wqj¢\9c\8e\85~wqm¢\9c\92\85~wqj¢\98\8e\85~wqm¢\98\92\85\81{tm¢\9c\8e\85~wqj¢\98\8e\85\81wqj¢\98\8e\85\81wqm¢\98\92\85\81wqjÝÓʼ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûîëÝ×ÿøîá×ÐÆÀîäÚÍü³¯á×Íù³©¢ÚÐƹ¯¬¢\9fÚÐù¯©\9f\9c×Ðù¯©\9f\98×Íù¬©\9f\9c×ʼ¹¬¥\9f\98Óʼ³¬¢\9f\95Óƹ³©¢\9c\92Íƹ¯¢\9f\95\8eÊÀ¶¬¢\9f\92\8bƼ³©\9f\98\8e\8bù¯¥\9f\95\8e\88À¹¬¢\98\92\88\85À¶¬¢\98\92\88\85¼³©\9f\95\8e\85\81¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\81~¹¬¢\9c\8e\88\81{¶¬¢\95\8e\88~{³¬\9f\95\8b\85\81{¯©\9f\95\8b\85~w³©\9f\95\88\85~w¯¥\9f\95\88\85~w\92\8b\81wqjd]VPF?962,("\1f\15\11\ e\a\ 4\a\ 4\0\0\0\0\0\0\15\ e\v\ 4\ 1\0\0\0,%\1f\18\15\11\v\aC<6/,%"\1fVPF?96//`ZPMC?<6j`ZPIF?<md]VPIC?qg]ZPIF?qj`ZSMFCtj`ZSPFCtmd]VPMFwmg]ZSPF{qj`ZSPI~tmg]ZSM\85wmj`ZSP\85{qjd]ZP\85~tjg]ZS\88\81tmg`]V\8b\81wqjd]V\8b\85{qjg]Z\8e\85{tmg`Z\8e\85{tmg`Z\92\88~tmjd]\92\88\81wqjg]\95\88\81wqjg]\95\8b\81wqjg]\98\8b\85{qjj`\98\8e\85{tmj`×ÍÀ¶¯¥\9f\95ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôëÿÿþôëá×ÐûñáÚÓÊÀ¹çÝÐƼ¶¯©ÚÓù³¬¥\9fÓÍÀ¶¬¥\9f\98ÓƼ¶¬¥\9f\95Óʹ³¬¢\9c\95Óƹ³©¢\9f\95Ðƹ³©¢\9c\92Ðƹ¯©\9f\98\92Êù¯¢\9f\95\92ÆÀ³¬\9f\9c\95\8bʼ¯©\9f\98\92\8bù¬¥\9c\92\8e\88À¹¬¢\98\95\8b\85¼¹©¢\98\92\88\85¼³¥\9f\95\8e\85\81¼³¥\9f\95\8e\85~¹¯¥\9f\92\8b\85~¹¯¢\9c\8e\88\85~¶¬\9f\9c\8e\88\85{¶¬\9f\98\8e\88\81w³¬\9f\98\8b\85\81{³©\9f\95\8b\85~w¯©\9f\92\88\85~w¯©\9c\92\88\81~w¯¥\9c\92\85\85{t¯¥\98\92\85\81{t¯¢\98\8e\88\81{t¬¢\98\8e\85\81wq¬¢\98\8e\85~wt¬¢\95\8e\85\81wq¬¢\95\8e\85\81wq©¢\95\8b\85{wq¬\9f\95\8b\85~wq©\9f\92\8b\85~tq©\9f\95\8b\85{tq©\9f\92\8b\85~wq©\9f\92\8b\81{tm©\9f\92\88\81~tq¥\9f\92\8b\81{tm©\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\8e\88\81{qm¢\9f\92\88~{qm¥\9f\92\88~{tm¥\9f\8e\88\81wtm¥\9f\92\88~wtj¥\9c\8e\88~wqm¥\9f\92\88~{tm¥\9f\8e\88~{qm\88\81tmg`ZSPF<92/,("\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\096/("\1f\e\15PIC<6//(`ZPIC<66j`ZPIC?9md]SPIC?mg`VPIF?mj`VPIF?qj`ZPMFCtjd]SPICwmg]VPPF{qj`ZSPI~tmd]ZSM\81wmg`]SP\85{tjd]ZS\85{tjg]ZS\88~wmg`]V\8b\81{qjd]Z\8b\85{qjd]Z\8e\85~qmg`]\8e\85~tmjd]\92\85~tmjd]\92\88\81wmjg]\92\88\85wqjd`\95\88\85{tjg`\95\8b\85{tmj`\98\8b\85{tmjd\98\8e\85~tmjd\98\8e\85~tmjd\9c\92\88~wqjg\9c\92\88~wqjd\9f\92\88~wqjg\9c\92\88\81wqjg\9f\92\88\81wqmg\9f\92\8b\81wtjg\9f\92\8b\81{tmj\9f\95\8b\81wtmj\9f\95\8e\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj\9f\95\8e\85{tmj\9f\98\8e\85~tmj¢\98\8e\85~tmj\9f\98\8e\85~wqj¢\98\8e\85~tqj\9f\98\92\85~wqm¢\95\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\88~wqj¢\9c\8e\85\81wqj¢\98\92\85~wqj¢\98\8e\85\81wqj¢\98\8e\85\81wqj¢\98\8e\85~wqjáÓʼ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîçáÓþôëÝÓÊƼîá×Êù³¬ÝÓÊù¯©\9fÚÐƹ³©¢\9c×Íù¯©\9f\9c×Êù¯¥\9f\98ÓÍÀ¹¯¥\9f\9c×ÊÀ¹¯¥\9f\95Óʼ¶¬¢\9f\95Óƹ¯©\9f\9c\92Íù¯¥\9f\95\8eʼ¶¬¢\9c\92\8bƼ¯©\9f\95\8e\88ù¯¢\9c\92\8e\85ù¬¢\9c\92\88\85¼³©\9f\98\92\8b\81¼³©\9f\95\8e\88\81¹¯¥\9f\95\8b\85~¹¯¢\9c\92\88\85{¹¬¥\9c\92\88\85~¶¬¢\9c\8e\88\81{¶¬\9f\95\8e\85\81w³©\9f\95\8e\85\81w³©\9f\95\8b\85~w³¥\9f\92\8b\85~w¯¥\9f\92\88\81{t\95\88\81wmjd]VPF?96//("\e\15\ e\v\ 4\ 4\a\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,%\e\18\11\ e\v\ 4C92/(%\1f\eSPF?96//`ZPIC?96j`ZPIF?9mg]SPFC?mj]VPIF?qj]ZPMFCtj`ZSPICwj`]VPMFwqg]ZSPI{qj`]VSM~wjg`ZSP\81wmj`ZSP\85{qj`]ZP\85~qjd`ZS\88~wmj`]V\8b\81wqjd]V\8b\81{qjg]Z\8e\85{tmg`]\92\85~tjg`]\92\85~tmjd]\92\88~wqjd]\92\88\81wqjg`\92\8b\81wqjj]\95\8b\85{qmj`\98\8e\85{tmj`ÓÊÀ¶¬©\9f\95ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþôëáÚÓûîáÚÐÆÀ¹ëÝÐƼ¶¬©ÚÓü³¬¢\9fÓʼ¹¬¥\9f\95ÓƼ¶©¢\9f\92ÓƼ³©¢\9c\95Ðʹ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ¯¥\9f\9c\92Êö¯¢\9f\95\8eÆÀ³¬\9f\9f\92\8eƼ¯©\9f\98\92\88ù¬¥\9c\95\8e\88À¹©¢\98\92\8b\85¼¶¥\9f\98\8e\88\85¹¯¥\9f\95\8e\85\81¹¯¢\9f\92\8b\85~¹¯¢\9c\92\8b\85~¶¬\9f\98\8e\88\85{¶¬\9f\9c\8e\88\81{³¬\9f\98\8e\85\81{³©\9f\95\8e\85\81w³©\9f\92\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¯¥\9c\8e\85\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85~wq¬¢\98\8e\85~wq¬¢\95\8e\85~wq¬¢\95\8e\85~wq©¢\95\8b\85~wq©¢\92\8e\85~wq©\9f\92\8b\85{wq©\9f\95\8b\85{tq©\9f\92\88\81{tm©\9f\92\8b\85{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88~{tm¥\9f\92\88\81{qm¥\9f\92\88~wqm¥\9f\92\88\81{qm¢\9f\92\88\81{qm¢\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9c\8e\88~{qm¥\9c\92\88\81{qm¥\9c\8e\88~{qm¢\9f\8e\88~{qj¢\9c\8e\88\81{qm¢\9c\8e\88~wqm¢\9c\8e\85~wqm\88\81wmg`ZVPF?92/,(\1f\e\15\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\092/("\1f\e\15PIC96/,(]ZSIC<62j`ZPIC?9md]SPFC?qg]SPIC?mg]VPMF?qj`ZPPF?tjdZSPICtmg]VPMFwqj`ZSPI~tjd]VPM\81wqj`ZVP\85{qj`]VP\85~tjd]ZS\88~wmj`ZS\88\81wmj`]V\88\85{mjg`Z\8e\85{qjg]]\92\85~tjg`]\8e\85~tmj`]\92\88\81wqjg]\92\88\81wqjd]\95\8b\81wqmg`\95\8b\85{tjg`\98\8b\85{tmj`\95\8b\85{tmj`\98\8e\85~tmjd\9c\8e\85~tqjd\9c\8e\88~wqjd\9c\92\88~wqjg\9c\92\88\81wqjg\9c\92\88~{tjg\9c\92\88\81{tjg\9f\92\88\81wqmg\9f\95\8b\81{tmg\9f\92\8b\81{tmg\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8e\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj¢\95\8b\85{wmj¢\95\8e\85~wmj¢\98\8e\85{wmj\9f\98\8b\85~wqj\9f\95\8e\85{wqj\9f\95\8e\85~wqj¢\98\8b\85~wqj¢\95\8e\85~wqj¢\9c\8b\85~wqj¢\98\92\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85\81wtjá×ÆÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûîçá×þôëá×ÐƼîá×Íù³¬á×ÊÀ¹¯©¢ÚÐƹ³¬¢\9f×Êù¯©¢\98ÓÊÀ¹¯¥\9f\95ÓÊÀ¶¬¥\9f\98Óʼ¶©¢\9f\95Óƹ³¬¢\9f\95Ðù¯©\9f\9c\92Êö¬¥\9f\98\8eʼ³©¢\9c\95\8bƹ¯¥\9f\98\92\88ù¬¢\9c\92\8e\85À¶¬¢\98\92\88\85¼¶©\9f\95\92\88\85¼³¥\9f\95\8e\85\85¹³¥\9c\92\8e\85~¹¯¢\9c\92\8b\85{¹¬¢\98\8e\88\85{¶¬¢\98\8e\88\81{¶©\9f\98\8e\85~w³©\9f\92\8e\85\81w³©\9f\92\8b\85~w¯¥\9f\92\88\85~t³¥\9c\92\88\85{t¯¥\9c\92\88\81{t¬¥\9c\8e\85\81{t¬¢\98\8e\85\81wq¬¢\95\8e\85~wq¬¢\98\8e\85~wq©¢\95\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\92\88\85{tq©\9f\95\8b\85{wm©\9f\92\8b\85{tm©\9f\92\8b\85{wm©\9f\92\88\85{wm©\9f\92\88\85{tm¥\9f\92\88\81{wm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{wm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9c\8e\85\81{tm¥\9c\8e\88~wtm¢\9f\8e\88\81wtj¥\9c\8e\85~wtm¥\9f\92\88\81wtm¥\9f\92\88\81{tj¥\9f\8e\85~wqj¥\9c\8e\88~wtj¥\9f\8e\85~wqj¥\9c\8e\88~wtj\85~wjg]ZSPF<62/,%"\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\11\ e\a\ 4\ 1\096,("\1f\e\15PIC962/(`ZPF?<92j`ZPFC?9jd]SPIC<mg]VPIF?mg]VPMF?qj`VPMFCtjd]SPMCwmd]VPMFwqj`]SPI~tmd]VPP\81wmg`]SP\85{qjd]ZS\85{tjg]]S\88\81wmg`]V\88\81wmjd]V\8b\85wqjg`Z\8b\85{tmg`]\8e\85{tmg`]\8e\85~tqjd]\8e\88\81wmjg]\92\88\81wqjg]\95\88\85{qmg`\95\8b\81{tjj`\95\8b\85{tmj`Óʼ¶¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñîÿÿþôëá×ÐûñäÚÐƼ¹çÝÐƼ¶¯¥ÚÓƹ³¬¢\9fÓÊÀ¶¬¥\9f\95Óʼ³¬¢\9c\92ÐƼ³©¢\9c\95ÓƼ³©¢\9c\92Ðƹ³¥\9f\95\92Íƹ¯¥\9f\98\92Êö¬¢\9f\92\8eÆÀ³©\9f\9c\92\8bƼ¯¥\9f\98\8e\88ù¯¥\9c\92\8b\85À¹¬¢\9c\92\88\85¼¶©\9f\95\92\88\85¹³©\9f\95\8e\85\81¹¯¥\9f\92\8e\85~¹¯¢\9c\92\8b\85{¶¬¢\9c\8e\88\81{¶¬\9f\98\8b\88~{³¬\9f\95\8b\85\81{³©\9f\95\8b\85~{¯©\9f\92\88\85{w¯©\9c\92\88\85{w¯¥\9c\92\88\85{t¯¢\9c\92\88\85{t\92\88~tmjd]VPF?962/("\1f\15\11\v\ e\ 4\a\ 4\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,"\1f\18\11\ e\v\ 4?96,(""\eSMF<62/,`ZPIC<96g`ZPIC?9jdZSMFC<mg]SPIC<mg]VPIC?qj`VPMF?tjdZSPICwmg]ZPMFwqj`ZSPF~tjd]VPP\81wmg]ZSP\85wqj`]VP\85~tjd]VS\88~wmg`]S\88\81wmj`]V\8b\85{qj`]V\8b\85{qjg`Z\8e\85~tjg`Z\92\88~tqj`]\92\88\81tmj`]\92\88\81wqjd]\92\88\81wqjg`\95\8b\81wqjg`\95\8e\85{qmg`ÓÊÀ¶¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîëÿÿûñçá×Ðûîá×ÍƼ¹äÚÐƹ¶¬¥×Ðƹ¯©¢\9fÓʼ¶¬¥\9f\95Ðƹ³©¢\98\92Ðƹ³©¢\9c\95Ðƹ³©¢\9c\92Íù¯¥\9f\95\92Íù¯¥\9f\98\8eÊÀ¶¬¢\9f\92\8eƼ³¬\9f\9c\92\8bƹ³¥\9f\98\8e\88ù¬¥\9c\95\8e\85¼¶¬¢\98\92\88\85¼¶©\9f\95\92\88\85¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¹¬¢\95\8e\8b\81{¶¬\9f\98\8e\88\81{³¬\9f\98\8b\85\81w¯¥\9f\95\88\85~w³¥\9f\95\88\85~w¯¥\9f\92\88\85~t¯¥\9c\92\88\85{t¬¥\9c\8e\88\81wt\92\88{tmj`]SPF<62/,%\1f\18\11\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\ e\v\a\ 4?6/,%"\1f\eSMC<62/,`ZPIC<66j]VPIC<9jdZSMFC<mg]SPIC?mg]VPMF?qj`VPMFCtjdZSPICwmg]VPPF{qj`ZSPM~tjd]VSM~wmg`ZSP\85{qj`]ZS\85{qjg`]S\85~wmg`]V\88\81tqjd]Z\8b\85wqjd]Z\8b\85wqjg`Z\8e\85{tmg`]\92\85~tmg`]\92\88~wmjg]\92\88~wqjd]\92\88\81wqjg]\92\8b\81wtmg`\95\8b\81{qmg`\95\8b\85{qmj`\95\8b\85{tmjd\98\8e\85~tqjd\98\8e\85~wmjd\9c\8e\85~wqjd\9c\92\85~wqmg\9f\92\88\81wqmg\9f\92\88~wtjg\9f\92\88\81{tmg\9f\92\88\81wtjg\9f\95\88\81{qmg\9f\92\88\81{tmg\9f\95\88\85{qmj\9f\95\8b\85{tmj\9f\95\88\85~tmj\9f\95\88\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{wmj\9f\95\8e\85{tqj\9f\95\8b\85{wqj\9f\98\8b\85~wqj\9f\98\8b\85~wqj¢\95\8b\85~wqj\9f\95\8e\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wtj\9f\95\8e\85{wqj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqmÝÓƼ¹¬©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûîëá×þøëáÓÍüîä×Íü³¬á×Êù³©¢ÚÐù¯¬¢\9cÓÍÀ¹¯©\9f\9cÓÊÀ¹¯¥\9f\98Óʼ¹¬¥\9f\95Óʼ¶¬¥\9f\98Óƹ³©¢\9c\95Íƹ¯¥\9f\95\92Íö¯¢\9f\95\8eʼ³¬¢\9c\92\8bƼ³¥\9f\98\8e\88ù¬¥\9c\92\8b\85À¶¬¢\98\92\88\85¼¶©\9f\98\8e\88\81¹¯¥\9f\95\8e\85\81¹¯¥\9f\92\88\85\81¹¯¢\98\92\88\85~¹¬¢\98\8e\88\81{³¬\9f\98\8e\88\81{³¬\9f\95\8b\85~{³©\9f\95\8b\85~w³©\9f\92\88\85{w¯¥\9f\92\88\85{t¯¥\9c\92\88\85{t¬¥\9c\92\85\85{t¬¢\95\8e\85\85{t¬¢\95\8e\85\81wt¬\9f\98\8e\85~wt©¢\95\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85~tq©\9f\92\88\85~tm¥\9f\92\8b\85~wm©\9f\92\88\85{tm©\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\95\88\81{qm¥\9f\92\88\81~tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\8e\88\81wtm¥\9f\92\88~{qm¥\9f\8e\88~{qj¥\9c\8e\88~{qm¥\9f\8e\88~{qj¢\9c\8e\88~wqj¢\9c\8e\88~wqm¥\9c\92\85~{qj¢\9c\92\88~wqj¢\9c\8e\85~{qm¢\9c\8e\88~wqj¢\9c\8e\85~wqj¥\9c\8e\85~wmj¢\9c\8e\85~wqm¢\9c\8e\85~wmj\85~tmg`ZSPF<6//,("\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\1f\15\11\a\ 4\ 1\096/,"\1f\e\18PIC962,,`VPFC<96j`ZPIF?9md]SPIC<mg]VPMF?qg`VPMFCqj`ZSPFCqjd]SPMFtmg]VPPF{qg`ZSPI{tjd]ZPM\81tmg`ZSP\85wqj`]VP\85{qjg]ZS\88~tjg`]S\88\81wmj`]V\88\81wmjd]Z\8b\85{qjd`Z\8e\85~tjg`Z\8e\85~tmj`]\8e\85~wmjd]\92\88\81wqjd]\95\88\81wqjd]\95\8b\81{qjg`\95\8b\85{tmg`Óʼ¶¬¢\9f\95ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûîëÿÿûñçáÓÐûîá×ÍƼ¹äÚÍƹ¶¬¥ÚÐù³¬¢\9cÓƼ¶¬¥\9f\98Ðƹ³©¢\9c\95Óƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³¥¢\98\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\95\8eʼ³©\9f\9c\92\8bü¯¥\9f\98\8e\88ù¬¢\9c\92\8b\85¼¶©¢\95\92\88\85¹³©\9f\95\8e\88\81¹¯¥\9f\92\8e\85~¹¯¢\9c\92\8b\85~¶¬¢\98\92\88\81{¶¬\9f\95\8e\88\81{³¬\9f\98\8e\85~{³©\9f\95\8b\85\81w³©\9f\95\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{w¯¥\98\92\88\85{t¬¥\98\8e\85\81{t\8e\88~tmj`]SPC?96/,(\1f\e\11\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4?62,%"\1f\18SIC<62/,`VPF?966g]SPIC?9j`ZPMFC<jdZSPFC?mg]SPIC?qj]VPMFCqj`ZSPICtjd]VPMF{qj]ZSPI~tjd]VSM~wmg`ZSP\81{qj`]VS\85{qjg]ZS\88~tjg`]S\88\81tmgd]V\88\81wqjd]Z\8b\85{qjg]Z\8e\85{tjg`Z\8e\85~wmjd]\8e\85~wmjd]\92\88~wqjg`\92\88\81wqjg]\95\8b\81{tjg`\95\8b\85{qmj`\95\8e\85{tmj`\98\8e\81{tmjd\9c\8e\85~tmjd\98\8e\85~wqjd\9c\8e\85~wmjd\98\8e\85~wqjg\9c\92\88\81wtmd\9c\92\88\81wqmg\9f\92\88~wqmg\9f\92\88\81{qmg\9f\92\88\81{tmj\9f\92\88\81{qmg\9f\92\88\81{tmj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\92\8b\81{tmj\9f\92\8b\85{wmj\9f\98\88\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{wqj\9f\95\8b\85~tqj\9f\98\8b\85{wmj\9f\98\8b\85{tqj\9f\98\8b\85~wqj\9f\95\8e\85~wqj\9f\95\8b\85{wqj¢\98\8e\85{wqj\9f\98\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wtj\9f\98\8e\85~wqjÝÓƼ¶¬¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäÝÓþñçÝÓÍüëáÓÊÀ¹³¬ÝÓÊÀ¹¯¥¢×Ðù¯©¢\9cÓÊÀ¹¬©\9f\98Óʼ¹¬¥\9f\98×ÊÀ¶¬¥\9f\98Óʼ¶¬¥\9c\95Ðʼ³©¢\9c\92Ðƹ¯¥¢\98\92Êù¬¢\9f\95\8eÆÀ³¬\9f\9c\92\88ù¯¥\9c\98\8e\88ù¬¥\9c\92\8b\85¼¶©¢\98\92\88\85¼³©\9f\95\8e\85\81¹¯¥\9f\92\8e\85\81¹¯¢\9f\92\8e\85~¹¯¢\9c\8e\8b\85~¹¬¢\98\8e\88\81{³¬\9f\98\8e\88\81{³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯©\9f\92\88\85{w¯¥\9c\92\88\85{w¬¥\9c\92\85\81{t¬¥\98\8e\85\81wt¬¢\98\8e\85\81wt¬¢\95\8e\85\81wt¬¢\98\8e\85\81wq©¢\95\8e\85~wq©\9f\92\8b\85~wq©\9f\95\8b\85~tq¥\9f\92\8b\81~wq¥\9f\95\88\85~wm©\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¢\9f\92\88~wqm¢\9f\8e\88~{qm¥\9f\92\88\81{qj¥\9f\8e\88~{qj¢\9c\8e\88~{qm¢\9f\8e\85~wtj¢\9c\92\88~{qj¢\9f\8e\85~wqj¢\9c\8e\88~{qm¢\9c\8e\85~{qm¢\9c\8e\85~wqj¢\9c\8e\85~{mj\9f\9c\8e\85~wmj¢\9f\8e\85~wqj¢\9f\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wmj\85~tjd`ZSMF<62/,%\1f\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\e\11\ e\ 4\ 4\ 1\096/("\1f\18\15PF?962/(`VPF?<62j`VPIC<9jd]SPFC<mg]SPIF?mg`VPMF?qj`VPPFCtj`ZSPIFtmd]ZPPF{mj`ZVPI~tjd]VSP~wmg]ZSP\85{qj`]VP\85{tjd`ZS\85~tmj`ZV\88\81wmjd]Z\8b\81wqjd]V\8b\85wqjg]Z\8b\85{qjg`Z\8e\85~tmj`Z\8e\88~wmjdZ\92\88\81wqjd]\92\88\81wqjg]\95\8b\81wqjg`\92\8b\81{qmj`\95\8b\85{tmg`\98\8e\85{tmj`\98\8e\85~tmj`\9c\92\85{tmjd\98\92\85~wmjg\9c\8e\85~wqjg\9c\8e\85~wqjg\9c\8e\88\81wqmg\9c\92\88~wqjg\9f\92\88\81{tmg\9f\92\88\81{qmj\9f\92\8b\81wtmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\95\88\81{tmj\9f\92\88\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\92\8b\85{tqj\9f\95\8b\85{wmj\9f\95\8b\85{wmj\9f\95\8b\85{tqj\9f\98\8e\85~wqj¢\98\8b\85{wqj\9f\98\8e\85~wqj\9f\95\8b\85~wqj\9f\98\8b\85~wqj\9f\95\8e\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wtj\9f\95\8e\85~wqj\9f\95\8b\85~{qjÝÓƼ¶¯¥¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîçáÓþôçÝÓÍƹîá×Êù³¬áÓÆÀ¶¯©\9f×Íù¯©¢\9c×ÍÀ¹¯¥\9f\98ÓÊÀ¹¬¥\9f\98Óʼ¶¬¥\9f\95ÓƼ³¬¢\9f\92Ðƹ³©\9f\9f\92Íù¯¥\9f\9c\8eÍö¬¢\9f\95\8eƼ³©\9f\98\92\88ƹ¯¥\9f\95\8e\88ù¯¢\9c\92\8e\85À¶©\9f\98\8e\88\85¹³©\9f\98\8e\85\81¼¯¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¹¬¢\9c\8e\88\85{¹¬\9f\98\8e\88\81{³©\9f\98\8e\88\81{³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9f\92\88\85{t¯¥\9c\92\88\85~w¬¥\9c\8e\88\81{t¯¢\98\8e\88~{t¬¢\98\8e\85\81{t¬¢\95\8e\85~{q©¢\95\8b\85~wq©\9f\92\8b\85~wq¥\9f\92\8b\85~wq©\9f\92\8b\85~wm©\9f\92\88\85{wq©\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81wtj¥\9c\92\88\81wqj¥\9c\8e\88~{tj¥\9c\92\85\81{qm¢\9c\8e\85\81wtm¥\9c\8e\85~wqj¥\9c\8e\85\81wqj¥\98\8e\85~wqj¢\9c\92\85~wqj¢\9c\8e\85~wqj¥\9c\8e\85~wqj¥\98\8e\85~wqj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85{wqj\85~tjd`ZSPC<62/,(\1f\e\15\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\11\a\ 4\ 4\ 196/(%\1f\1f\15SIC96/,,`ZPIC?92j`ZPIF?9jg]SPIC<mg]SPIF?mg`VPIFCqj`ZSPFCtj`ZSPICwmd]ZPIFwmj`ZSPI{qjdZVPI~wmg]ZSP\81wmj`ZVP\85{qjd]ZS\88~tjg`]S\88~wmg`]V\88\81wmjd]V\8b\85wmjd]Z\8b\85{qjg`]\8e\85~tmj`]\92\85~tmjd]\92\88\81wmjd]\92\88\81wmjg]\92\88\81wtjg`\95\8b\81wqjg`\95\8b\85{tmg`\95\8e\85{tmgd\95\8b\85~tmj`\9c\8e\85{tmjd\98\8e\85~tqjd\9c\8e\85{wqjg\9c\92\88~wqjg\9c\92\88~wqjg\9c\92\88~wqjg\9c\92\88\81wqmg\9f\92\88\81wqmg\9f\95\88\81{tmg\9f\95\88\81{tmj\9f\95\88\85{tmj\9f\92\8b\85{tqj\9f\95\8b\81{tmj\9f\95\8b\81{tmj\9f\92\8b\85~wqj\9f\95\8b\85{tqj\9f\95\88\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85~tqj\9f\95\8b\85{tmj\9f\98\8b\85~wmj¢\95\8b\85{wqj\9f\95\8e\85{wqj\9f\95\8e\85{wqj\9f\98\8b\85{wqj\9f\98\8b\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqjÝÓƼ¶¬¥¬ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûîÿÿÿûîäÝ×þôëÝÓÍƼîáÓÊù³¬áÓƼ¶¯©\9f×Íù¯©¢\9cÓÊÀ¹¬¥\9f\95Óʼ¶¬¥\9f\95ÓÆÀ¶¬¥\9f\95ÓƼ¶©¢\9c\95Óƹ³©\9f\9c\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\92\8eƼ³©\9f\9c\92\8bù¯¢\9f\95\8e\88À¹¬¢\9c\92\8b\85¼¶©\9f\98\92\88\85¹³©\9f\95\8e\88\81¹¯¥\9c\92\8e\85~¹¯¢\9c\92\8b\85~¹¬¢\9c\8e\88\85{¶©\9f\95\8e\88\81{³©\9f\98\8b\85\81{¯©\9f\92\8e\85\81w¯¥\9c\92\8b\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\85~t¯¥\98\8e\88\81{t\8e\85{tmjd]SMC?66/,(\1f\18\15\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4?9/,("\1f\18SIC<62/,`VPFC<96j]VPIC<9j`ZSMF?<jdZSPFC?mg]SPIC<mj`ZPMFCqj`ZSPICtjd]VPPFwqj`ZSPI{qj`]VPI~wmg]ZVP\81wmj`]VP\85{qjd]ZS\88{tjg`ZS\88\81wmg`]V\88\81wqjd]Z\8b\81{qjd`Z\8e\85{tmg`]\8e\85{tmg`]\92\85~tmgd]\92\88~wqjg]\92\88~wqjg`\92\88\81{qjg`\95\8b\81{qmgdÓƼ³¬¥\9f¯ÿÿÿÿÿÿûôÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþøîçÿÿûñçáÓÐøîáÓÊƼ¹äÚÍƼ¶¬¥×Íù¯©\9f\9cÓƼ¶©¥\9c\92Ðƹ³¬¢\9c\92Ðƹ³©¢\9c\92Ðƹ³©¢\95\92Ðƹ¯¥\9f\98\92Íù¯¥\9f\98\8eʼ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bù¯¥\9c\98\8e\88À¹¬¢\9c\92\8b\85¼¶©\9f\95\92\88\81¹³©\9f\92\92\85\81¹¯¥\9f\92\8e\85\81¹¯¢\9c\8e\8b\85~¶¬\9f\98\8e\88\81{¶¬\9f\98\8e\88\81{³¬\9f\98\8b\85~w³©\9f\92\8b\85~w³¥\9f\92\88\85~w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¬¥\95\8e\85\85wt¬¢\98\8e\85\81wt¬¢\98\8e\85\81wq¬¢\95\8e\85\81wq©\9f\98\8e\85\81wq©\9f\92\8b\85~wq©\9f\92\8b\81~tq©\9f\92\8b\81~tm¥\9f\92\88\85{wm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\85{tm¥\9f\92\8b\81{tm¢\9f\92\88\81{qm¥\9f\92\88\81{qm¢\9c\8e\88~wtj¢\9c\8e\85\81wqm¢\9c\92\85~{qm¥\9f\8e\85\81wqm¥\9c\8e\88~wqj¢\9c\92\85~{qm¥\9c\8e\85~wqj¢\98\8e\88~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85{wmj¢\9c\8e\85~wqj¢\9c\8e\85~wmj¢\9c\8e\85~wmj¢\9c\8e\85~wmj¢\9c\8b\85~wmj¢\95\8e\85~wqj¢\9c\8e\85~wqj\85~qjd]VSMF<6//(%\1f\e\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\096/("\1f\e\15PI?96/,(]VPFC<62j]VPIC?9jdZSPFC<md]SPIC?mg]SPIF?mg`VPPFCqj`ZSPIFwmd]VPPFwmj`ZSPM{tjd]ZPM\81tmg`ZSP\81wmj`]VP\85{qjd]VS\85~tmg`ZS\88~wmjd]V\88\81wmjd]V\8b\85{mjd]Z\8e\85{qjd`Z\8e\85{tjg`Z\8e\85~tmj`]\92\88~wqjd]\92\88~wmjd]\92\88\81wqjg]\92\8b\81{qmgdÓƼ³¬¥\9f¼ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñçÿÿûñçáÓÐøîá×ÍƼ¹äÚÍù¶¬¥×Íù¯©¢\9cÓƼ¶©¥\9c\95Ðƹ³©¢\9c\92Ðƹ³¥¢\98\95Ðƹ¯©\9f\98\92Íù¯¥\9f\9c\92Êö¬¥\9f\95\8eÊÀ¶¬¢\9f\92\8eƼ³©\9f\98\92\8bù¯¥\9f\95\8e\88À¹¬\9f\9c\92\8b\85¼³©\9f\98\92\88\85¹³¥\9f\95\8e\88\81¹¯¢\9c\92\8e\85~¹¯¢\9c\92\8b\85~¶¬\9f\98\8e\88\81{³¬\9f\98\8e\88\81{¯©\9f\95\8e\85\81{¯©\9f\95\88\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85~t¯¢\9c\92\88\85{t¬¢\98\8e\85\81{t¬¢\95\8e\85\81wq\8e\85{tmg]]SMC?62/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\a\ 1\0\0\0\0\0(\1f\e\15\ e\v\ 4\ 4<6/,%"\1f\ePIC962/,]VPF?<62g]SPFC<9j`ZPMF?<jdZSPFC<jd]SPIC?mg]VPIF?qj`ZSPFCtjd]SPMFwmg]VSPI{qj`ZVPI~tjg]ZSP\81wmg`]SP\81{qjd]VS\85{tjg]ZS\88~tmg`]Z\88\81wmjd]V\8b\81wqjg]Z\8b\81{qjd`Z\8e\85{tmg`]\8e\85~tmg`]\92\88~wmjd]\92\88\81wqjg]\92\88\81wqjj]\92\8b\81wqmg`\95\8b\85{qmj`\95\8b\85{tmjd\95\8b\85{tmjd\98\8e\85{tmjd\98\8e\85~wmjg\98\8e\85~wqjg\98\8e\85~wqjd\9c\92\85~wqjg\9c\92\85~wqjg\9f\92\88~wqjg\9f\92\88~wqmg\9f\92\88\81wtjg\9f\95\88\81wtmj\9c\92\88\81{tjj\9f\92\88\81wtmj\9f\95\88\81{tmj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\95\8b\81{tmj\9f\95\88\85{tqj\9f\92\8b\81{tmj\9f\92\8b\81{tmj\9f\95\8b\85~wqj\9f\92\8e\85{tmj\9f\98\8b\85{tmj\9f\95\8b\85~wmj\9f\95\8b\85{wqj\9f\95\8b\85~wqj\9f\98\8b\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85{wqwÝÐƼ³¬¥ÓÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþøîäÝÓûñäÝÐÊùëáÓÊÀ¹³¬ÝÓÆÀ³¬¥\9fÓÍÀ¹¯©\9f\9cÓƼ¶¬¥\9f\95Óʼ¶¬¥\9f\98ÓƼ³¬¥\9f\95ÓƼ³©¢\9c\95Ðƹ¯©¢\9c\92Íù¯¥\9f\95\8eÊÀ¶¬¢\9f\92\8eƼ³©\9f\98\92\88ù¯¥\9f\95\8e\88¼¶¬¢\98\92\8b\85¼¶©¢\98\92\88\85¹³¥\9f\92\8e\85\81¹¯¢\9c\92\8e\85~¹¬¢\9c\8e\88\85~¶¬\9f\9c\8e\88\81{³¬\9f\95\8b\88\81{³©\9f\95\8b\85\81w¯©\9f\92\8b\85~w¯¥\9c\92\8b\85~w¯¥\9c\92\88\85{t¯¥\9c\92\88\81{w¬¢\9c\92\88\81{t¬¢\95\8e\85\81wt©¢\95\8e\85~wt¬¢\95\8e\85\81wq©\9f\92\8b\85~wq©\9f\92\8b\85~wq©\9f\92\8b\81~tm¥\9f\92\88\81~wm©\9f\92\88\85{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88~{qm¥\9c\8e\88\81{tm¥\9c\8e\88~{qm¢\9c\8e\88~wqj¢\9c\92\85~{tm¥\9c\8e\85~wqj¢\9c\92\85~{qj¢\9c\8e\85~{tm¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~{qj¢\9c\8e\85{wmj¢\9c\8e\85{wqj¢\98\8e\85{wmj\9f\9c\8e\85{wqj¢\98\8e\85{wqj¢\95\8b\85~wqj\85~qjd]VSMC96//(%\1f\18\11\v\v\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\092,%"\1f\e\15PI?96/,(]VPF?<66g]SPIC<9j`ZSPFC<j`]SPIC?mg]VPIC?mg]VPMF?qj`ZSPICtjd]VPMCwqj]ZSPI{qj`ZVPM~tmg]ZSP\81wmj`ZSP\85{qjd]ZS\85~tjg]ZS\88~wmj`]V\88\81wqjd]Z\88\81wqjg]]\8b\85{qjg`]\8e\85{tjj`]\8e\85~tmj`]\8e\85~wmjd]\92\88~wqjd]\92\88\81wqjg`\95\8b\81{tjg`\92\8b\85wqmg`\95\8b\85{tmg`\95\8e\85{tmjd\95\8b\85{tmjd\98\8e\85{tmjd\98\92\85~wqjd\98\8e\85~wqjg\98\8e\85~wqjg\9c\92\85\81wqjg\9c\92\85~wqjg\9f\92\88~wqmg\9f\92\88\81wqjg\9f\92\88\81wtmj\9c\92\88\81wtmj\9f\95\88\81wtmg\9f\95\88\81{tmj\9f\92\8b\81{tmj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\95\8b\81{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\92\88\85{wqj\9f\92\8b\85{tqj\9f\95\8b\81{wqj\9f\95\8b\85{wqj\9f\95\8b\85{wmj\9f\98\8b\85{wqj\9f\92\8b\85~wqj\9f\95\8e\85{wqj\9f\95\8e\85{wmm\9f\98\8b\85~wq\85ÚÐƼ³¬¥äÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøîäÝÓûñçÝÓÊùëáÓÊÀ¹³©ÝÓƼ¶¯¥\9fÓÐÀ¹¬©\9f\98ÓÊÀ¹¬¥\9f\98Óʼ¶¬¥\9f\95ÓƼ¶¬¥\9f\95Óƹ³¬¢\9c\92Ðƹ³©¢\98\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\92\8bƼ¯©\9f\98\92\88ù¬¥\9c\95\8e\85À¶©¢\95\92\8b\85¼³©\9f\98\8e\88\85¼³¥\9f\95\8e\88\81¹¯¢\9c\92\8b\85~¹¬¢\9c\92\8b\85~¶¬\9f\98\92\88\81~¶©\9f\98\8e\88\81{³©\9f\95\8b\85\81{³©\9f\95\88\85~w³¥\9c\92\88\85~w¯¥\9c\92\88\85{w¬¢\9c\92\88\81{t¬¢\98\8e\85\81{t\8e\85~tmg`]SPF<66/,%"\18\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0("\e\15\11\ e\v\v?62/(""\1fSMC<62/,`ZPIC<96j`VPIF?<jdZSMFC<md]SPIC<mg]SPMF?mj]ZPMFCqj`ZSPFCtjg]SPMFwmj`ZPPI{tj`]VPM~tjg]ZSP\81wmj`]VP\85{qjd]VS\85~tmg]ZV\85~tmg`ZV\88\81wmjd]Z\8b\81wqjd]Z\8b\85{qjg`]\8e\85{tmg`]\8e\85{tmj`]\92\85~wmj`]\8e\88~wqjd]\92\88\81wqjg]\92\88\81wqjg\88ÓƼ³©¢\9fçÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøñçÿÿûñçáÓÍøîá×ÍƼ¹ä×Íƹ¶¬¥×ÍÀ¹¯¬\9f\9cÓƼ³©¢\9c\95Íƹ¯¥¢\9c\92Íù¯¥\9f\9c\92Íù¯©\9f\98\92Íù¯¥\9f\95\92ÊÀ¶¬¢\9f\95\8eƼ³¬¢\9c\92\8bƹ³©\9f\98\92\88À¹¬¢\9c\95\8b\85À¶©\9f\9c\92\8b\85¼³©\9f\92\8e\88\85¹¯¥\9f\92\8e\85\81¹¬¢\9c\95\8b\85~¶¬¢\98\8e\88\85~³¬¢\9c\8e\88\81{³¬\9f\98\8e\85\81{³©\9f\95\8b\85~w³©\9c\95\88\85~w¯¥\9f\92\88\85{t¯¥\9c\92\88\85{t¬¥\98\8e\88\85{t¬¢\95\92\85\81{t¬¢\95\8e\85\81wt©¢\95\8e\85~wq©\9f\92\8b\85\81wq©\9f\95\8b\85~wq©\9f\92\8b\81~wq©\9f\92\88\81~tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9f\8e\88\81{tm¢\9f\92\85~{tm¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~{qj¥\9c\8e\85~wqj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\98\8e\85~wmj¢\98\8e\85~wqj¢\98\8b\85~wqj\9f\98\8e\85{wmj¢\98\8e\85{wqj\9f\9c\8b\85~wqm¢\95\8b\85{wmj\9f\9c\8b\85{wmj\9f\95\8b\85{wmj¢\98\8e\85~wqj\9f\95\8b\85{wmj\9f\98\8b\85{wmj\85~qjd`VSMF<6//(%\1f\18\11\v\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\ 492,%\1f\1f\18\1fPF?66/,,]VPF?966g]SPFC<9j`ZPMF?<jdZSMIC?jd]SPFC?mg]VPMCCmj`ZSMFFtj`]SPMFwmg]VSPM{qj`ZSPP~tjd]ZSP\81wmg`ZSS\85{qjd]VS\85{qjg]ZV\85~tmg`ZZ\88~tmjd]Z\88\81wqjd`]\8b\85wtjg`]\8b\85{qjj`]\8e\85{tmjd`\8e\85~tmjd`\92\85~tqjd`\95\88\81wqjg`\92\88\81{qjg\92ÐƼ³¬¢\9cñÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûñçÝÓÍøîá×ÐƼ¶ä×Íù³¬¢×ÍÀ¹¯©\9f\9cÓƹ³©¢\9c\95Ðƹ¯©\9f\98\95Íƹ¯©\9f\9c\92Ðƹ¯¥\9f\98\92Íù¯¥\9f\95\92ÍÀ¹¬¢\9f\95\8eʼ¶¬¢\9c\92\8bƹ¯©\9f\98\92\88ù¯¥\9f\95\8e\85À¶©¢\98\92\8b\85¼³©\9f\98\8e\88\85¹¯¥\9f\92\8e\85~¹¯¢\9c\92\8b\85~¹¬¢\95\8e\88\85~¶¬\9f\98\8e\88\81{³©\9f\92\8e\85\81w³©\9f\92\8b\85\81{¯©\9f\92\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\81{t¬¢\9c\92\88\81{t¬¢\98\8e\85~wt¬¢\95\8e\85\81wq\8e\85{tmg]]SMF<62/,(\1f\18\15\11\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0("\1f\15\11\ e\a\11?6//("\1f"SIC<62//]VPFC<96g]SPIC<<j`ZPMF?<j`ZSMIC?md]SPFF?mg]SPIFCqj`ZSMFFtjd]SPMIwmg]ZSPM{qj`]VPP~tjd]ZSS\81wmg]ZVS\81wmj`]VV\85{qjg]ZZ\85~tmg`ZZ\88~wmg`]]\88\81wmjd]]\8b\85wqjg`]\8e\85{qmg``\8e\85~tmj``\8e\85~tmj`d\92\88~tmj`d\92\88\81wmjgg\95\88\81wqjdg\92\88\81wqmjg\92\8b\81{tjjj\92\8b\85{tmjj\95\8b\85{tmjj\98\8e\85{tqjj\98\8e\85{tqjj\98\8e\85~tqjj\9c\92\85~wqjj\9c\8e\85~wqjj\9c\8e\85~wqmm\9c\92\88\81wqjm\9c\92\88~{tjm\9c\92\85\81wqmm\9c\92\88\81{tmm\9f\92\88\81wtmm\9f\92\88\85wtmm\9f\92\88\81{tmm\9f\92\88\81{tmm\9f\92\88\85wtmm\9f\92\88\85{tmq\9f\92\8b\81{tmm\9f\92\8b\81{tmq\9f\92\88\85{tmq\9f\92\88\85{tmq\9f\95\8b\85{wqq\9f\95\88\81{wmq\9f\92\8b\85{tmq\9f\95\8b\85{tmq\9f\95\8b\85{tmq\9f\95\8b\85~tmq\9f\92\8b\85{tmq\9f\95\8b\85~tm¬ÝÐƹ¶¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäÝÓþñäÝÓÊüëÝÓʼ¹³¬ÝÓƼ³¯¥\9fÓÊÀ¶¯©\9f\9fÓʼ¶¬¥\9f\98Óƹ³¬¥\9f\95ÓƼ³¬¢\9f\98Óƹ³©¢\9c\95Ðƹ¯©\9f\9c\92ÊÀ¹¬¥\9f\95\92Ƽ³©¢\9c\92\8eƹ¯¥\9f\95\92\8bù¬¢\9f\92\8b\88À¶©\9f\9c\92\88\85¼³¥\9f\95\8e\88\85¹¯¥\9f\95\8e\85\81¹¬¢\9c\92\8b\85~¶¬\9f\98\8e\88\85~³¬¢\98\8e\88\81{³©\9f\95\8e\88\81{¯©\9f\92\8b\85\81{³¥\9f\92\88\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\85{w¬¢\98\8e\88\81{t¬¢\98\8e\85\81wt\92\85{tjg`]SMF<62/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\e\15\ e\v\ 4\18<6/,%"\1f,PIC962/2]VPFC<6<g]SPFC<?g`ZPMF?Cj`ZSPFCCjdZSPICFmg]VPIFIqj]ZSMFMtj`]SPIPwmg]VSPP{qj`]SPV~tjg]ZSZ\81wmg`]SZ\85{qjd]Z]\85{tjd`Z]\85~tmg`]`\85~wmj`]`\88\81wqjg]d\8b\85{qjg`g\8b\85{qjg`g\8e\85{tmjdj\8e\85~wmjdj\92\85~wmjgj\92\88~wqjgj\92\88\81wqjg¬Ðƹ³©¥\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûîäÝÓÐôëÝÓÊƼ¶á×Êù³¬¥ÓÊÀ¹¯©\9f\9cÓƹ³©¢\9c\98Ðù³¥\9f\98\95Ðƹ¯¥\9f\98\92Êù¯¥\9f\98\95Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\95\92Ƽ³©¢\9c\95\8eƹ¯¥\9f\95\92\8bù¬¢\9c\92\8b\88À¶©\9f\98\92\88\85¼³©\9f\95\8e\85\85¹¯¢\9f\92\8e\85\81¹¬¢\9c\92\8b\85\81¶¬\9f\95\8e\88\85~¶©\9f\98\8e\88~~³©\9f\95\8b\85\81{¯©\9f\92\88\85~{¯©\9c\92\88\85~w¯¥\9c\92\88\85~w¬¥\9c\8e\88\81{t¬¢\98\8e\88\81{t¬¢\98\8e\85\81wt©\9f\95\8b\85~wt©\9f\95\8b\85~wt©\9f\92\88\85~wt¥\9f\92\88\85~tt¥\9f\92\88\85{wq¥\9f\92\88\81{tq¥\9f\92\88\81{tq¥\9f\92\88\81{tq¥\9c\92\88\81{tq¥\9c\8e\85\81{tm¥\9c\8e\88~wtm¥\98\92\85~{tm¢\9c\8e\85\81wqm¢\9c\92\85~wqm¢\9c\8e\85~wqm¢\9c\8e\85~wqm¢\98\8e\85~wqm¢\98\8e\85~wqj\9f\98\8e\85~wqm¢\98\8b\85~wqm¢\98\8b\85~wqm¢\98\8b\85~wqj¢\98\8e\85~wqm¢\95\8b\85{tqm\9f\98\8b\85~wmj¢\98\8b\85{tmj\9f\98\8b\85~wmj\9f\95\8b\85{tqm¢\98\8b\85{tmj\9f\95\8e\85~wmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\98\8e\85{tmj\85{tjd]VPIC962/("\1f\18\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\1f62,(\1f\1f\182PF?96//<]SPF?<6Fg]SPFC<Ij`ZPMFCIjdZSPICMmd]SPICPmg]VPMFPqj`ZSPIPtjd]VPMStmg]ZSM]{qj`ZVP]~tjd]ZS`~wmg`ZVd\85{mj`]Vd\85{qjd`Vg\85~tmg]]j\88~tmg`]j\88\81wmjd]j\88\81wqjd`m\8e\85{qjg`q\8e\85{tjg`m\8e\85{tmg`q\8e\85~tmjdt\92\88~wqjdt\92\88~wqjgt\95\88\81wqjgt\92\88\81{qjgw\95\8b\85{tmgw\95\8b\85{tmgw\98\8b\85{tmjw\95\8e\85{wmj{\98\8e\85{tmj{\98\8e\85~wmj{\9c\8e\85~wqj{\9c\92\85~wqj{\9c\92\85~wqj{\98\8e\88~wqm~\9c\92\88\81wqj~\9c\92\88\81wtj~\9f\92\88\81wtm~\9c\92\88\81{qm\81\9f\92\88\81{tm~\9f\92\88\81wtm\81\9f\92\88\81{tm\81\9f\92\88\81{tm\81\9f\92\88\85{tm\81\9f\92\88\85{tm\81\9f\92\88\85{tm\81\9f\92\88\85{tm\81\9f\92\88\81{tm\81\9f\92\8b\85{tm\81\9f\92\8b\85{tm\81\9f\92\88\85{wm\85\9f\95\88\85{tm\85\9f\92\8b\85~tq\85\9f\92\8b\81{tm\85\9f\92\8b\85{wmÊÚÐƼ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôñÿÿþøîäÚÓûñçÚÓÊüëÝÓÆÀ¹¯¬ÝÓƼ¶¬¥¥×ÊÀ¹¯©\9f¢ÓƼ¶¬¢\9f¢ÓƼ¶¬¢\9f¢Óƹ³©¥\9f\9fÓƹ³©¢\9c\9cÐƹ¯©\9f\9c\9cÊÀ¹¬¥\9f\95\95ʼ³©¢\9c\92\92ù¯¥\9f\98\8e\92ù¬¢\9c\92\8b\8eÀ¶¬¢\9c\92\8b\8b¼³©\9f\95\8e\88\88¹¯¢\9f\95\8b\85\88¹¬¢\9c\92\8b\85\85¹¬\9f\9c\92\88\85\85¶©\9f\98\8e\88\81\81³©\9f\95\8e\85~\81³¥\9f\92\8b\85~~¯¥\9c\92\88\85~~¬¢\9c\8e\88\85{~¬¢\9c\92\88\85{{¬¢\95\8e\85\81{{¬¢\98\8e\85\81{{¬\9f\95\8b\85~ww¬\9f\95\8b\85~ww©\9f\95\8b\85~ww©\9f\95\88\85~ww¥\9f\92\8b\85{tw¥\9f\92\88\81{tt¥\9f\92\88\85{tw¥\9c\92\88\81{tt¥\9f\92\88\81{tt¥\9c\92\88\81wtt¥\9c\92\85\81{tt¥\9c\8e\88~wtt¢\9c\8e\85\81wqt¢\9c\8e\85~wqt¢\98\8e\85~wqt¢\9c\8e\85~wqt¢\98\8e\85~wqq¢\98\8e\85~wqq¢\98\8e\85~wqt¢\95\8e\85~wmq¢\98\8b\85~wqq\9f\98\8b\85~wmq¢\98\8b\85~wqq¢\98\8e\85{wqq\9f\95\8b\85{tqq\9f\98\8b\85{tmq\9f\98\8b\85~wqq\9f\95\8b\85{tqq\9f\98\8b\85{tqq\9f\98\8b\85{wmq\9f\95\8b\85{tmq\9f\98\8b\85~wqj\85{qjg]ZSMC<6//,%"\e\11\ e\v\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\ e\1f\e\15\ e\v\ 4\ 4,92,("\1f\e?PF?96/,M]SPFC<9Sg]VPIC<Sj`ZPIFCVjdZSPICVjd]SPICZmg]VPIF]mg`ZPPI`tj`ZSPMdtmg]ZPMjwmj`ZSPj~tjg]ZSm~wmg]ZSm\81wmj`]Vt\85{qjd]Zt\85~tjg`Zw\88~tmg`]w\88\81wqjd]{\88\81wqjg]{\8b\85{qjg`{\8e\85{tjg`~\8e\85{tmjd\81\92\85~tmjd\81\8e\85~wmjg\81\95\88\81wqjg\81\92\88\81wqjg\85\92\88\81wtmg\85\95\8b\81wqjg\85\95\8b\81{tmj\85\95\8e\85{tmj\88\98\8b\85{tmj\88\98\8e\85{tqj\88\98\8e\85~wqj\88\9c\8e\85~wqj\88\9c\8e\85~wqj\8b\9c\92\85~wqj\88\98\92\85~wtj\8b\9c\92\88~wtj\8b\9c\92\88\81wtm\8b\9c\92\88\81{tm\8b\9c\92\88\81{tm\8b\9f\92\88\81wtm\8e\9f\92\88\81{tm\8e\9f\92\88\81wtm\8e\9f\92\88\81{tm\8e\9f\92\88\81{tm\92\9f\92\88\81{tm\92\9f\95\88\81{tm\92\9f\92\88\81{tm\92\9f\92\88\81{tm\92\9f\92\88\81{wm\92\9f\92\8b\81wtm\92\9f\92\8b\85{qm\92\9f\95\8b\85{tm\92\9f\92\88\85~wm\92\9f\92\8b\85{wq\92\9f\95\8b\85{tm×ÚÐƹ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôôÿÿþôîçÝÚûñçÚÓÊÀÃçÝÐÆÀ¹¯¹ÝÓƼ³¬¥¯×ͼ¹¬©\9f¬ÓƼ³¬¢\9f¬ÓƼ³¬¢\9f¬Óƹ³©¢\9f¬Ðù¯¬\9f\9c©Íù¯¥\9f\95¥ÊÀ¶¬¥\9f\95\9fƼ³©\9f\9f\92\9fƹ¯¥\9f\95\92\9fÀ¹¬¢\9c\92\8e\98¼³©¢\98\92\88\95¹³©\9f\95\8e\88\92¹¯¢\9f\92\8b\85\92¹¬¢\98\92\88\85\8e¶¬\9f\95\8e\88\85\8e³©\9f\98\8e\88\81\8b³©\9f\92\8b\88\81\88³©\9f\92\8b\85\81\88¯¥\9c\92\88\85~\88¯¥\9c\92\88\85{\88¬¥\98\92\88\81{\85¯¢\98\8e\88\81{\85¬\9f\95\8e\85\81wt\8e\85{qjg]ZPMC<62/,"\1f\15\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\ 1\ e\a\ 1\0\0\0\0\1f%\1f\18\15\ e\v\ 49<6/,%\1f\1fPPI?962/V]VMF?<6]g]SPF?<`j`VPIF?`j`ZSMFC`jd]SPFCdmd]SPIFgqg`ZPMFjqj`]SPImwmg]VSPq{qj`ZSPt{tjd]VPw~tmg`]S{\85{mjd]V{\85{qjg`Z~\85{tmg`Z\81\85~wmgd]\81\88\81wmjg]\85\88\81wqjg]\85\8b\85{tjj`\85\8e\85~tmgd\85\8e\85~tmjd\88\92\85~wqj`\88\92\85~wqjd\8b\92\88\81wqjgÐÐƹ¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîñÿÿøîäÝÓÓôëÝÓÊùÃá×Íù³©¶ÓÊÀ¹¯©\9f³Ðƹ³©¢\98³Íù¯¥¢\98¯Íù¯¥\9f\95³Êù¬¥\9f\98¯Íù¬¥\9f\95¬ÊÀ¶¬¢\9c\95¬Æ¼³©\9f\9c\92¥Æ¹¯¥\9f\95\8e¢À¶¬¢\9c\92\8e\9f¼³©\9f\98\92\88\9f¹³©\9f\92\8e\88\9c¹¯¢\9c\92\8b\85\9c¹¬¢\9c\92\8b\85\98¶¬¢\98\8e\88\85\95³©\9f\95\8e\85\81\92¯©\9f\95\8e\85~\92³¥\9f\92\8b\85~\92¯¥\9c\92\88\85~\8e¯¢\9c\92\88\85{\8e¬¢\98\8e\88\81{\8b¬¢\95\8e\85\81{\8b¬\9f\95\8b\85~w\88©\9f\95\8b\85~ww\8b\85{qjg]ZSMC<66/,%\1f\18\15\ e\v\a\ 4\ 4\ 4\0\0\0\0\0\a\11\v\ 4\ 1\0\0\0%,"\e\15\11\ e\v?<6/,("\1fPSI?<62/]]SPF?96`g]SMFC<dg`ZPMC?gj`VSMFCgjd]SPICjmg]VPICmqj`ZPPFqqj`ZSPIwwmg]ZPPw{qg`ZSP{{qjd]VS~~wjg`ZS\81\81wqj`]V\85\85{qjd]Z\85\85{tjd]Z\85\85~tmg`]\88\88\81wmg`]\88\8b\81wmjd]\8b\8b\81{qjg]\8e\8e\85{tmg`\8e\8e\85~tjjd\92\92\85~tmjd\92\92\85~wqjd\92\92\88~wqjgÓÐƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîøÿÿøîäÝÓÚôëÝÓÊüÆáÓÊÀ¹³©¼×ÊÀ¹¬¥\9f¹Íƹ¯©¢\9c¹Êù¯¥¢\95¹Êù¯¥\9f\95¹Êù¯¥\9f\95¶ÊÀ¶¯¥\9f\95³Æ¼³©¢\9f\95¯Æ¹³©¢\98\92¬Ã¹¯¥\9f\95\8e¬Ã¶¬¢\9c\92\8e©¼³©\9f\98\92\88¢¹¯©\9f\95\8e\88¢¹¯¢\9c\92\8b\85\9f¶¬¢\98\92\8b\85\9f¶¬\9f\98\8e\88\85\9f³©\9f\95\8e\88\81\9f³©\9f\92\8b\85~\9c¯¥\9f\92\8b\85~\98¯¢\9c\92\88\85~\98¯¢\9c\8e\88\81{\98¬¢\98\8e\85\81w\95¬\9f\98\8e\85\81{\95¬\9f\95\8e\85\81w\92©\9f\92\8b\85\81w\92©\9f\95\8b\85~w\92©\9f\92\8b\85~w\92¥\9f\92\88\85{w\92¥\9f\92\88\81{t\92¥\9c\92\88\81{t\92¥\9c\92\88\81{t\8e¥\9c\8e\88\81{t\8e¥\9c\8e\85\81{t\8e¥\9c\8e\88~{t\8b¢\9c\8e\85~{t\8e¢\9c\8e\85\81wt\8b¢\98\8e\85~wq\8b¢\98\8e\85~wq\8e¢\95\8e\85~wq\8b¢\9c\8e\85~wq\8b\9f\98\8e\85~wq\8b¢\98\8e\85~wq\88¢\98\8b\85~wq\8b\9f\98\8b\85{tq\88\9f\98\8b\85~wq\8b\9f\95\8e\85~wq\88\9f\95\8b\85~tq\88\9f\95\8b\85~wm\88\9f\95\8b\85~tq\88\9f\98\8b\85{tm\88\9f\92\8b\85~wm\88\9f\95\8b\85{wq\8b\9f\95\8b\81{tm\8b\9f\95\8b\85{wm\8b\9f\95\8b\85{tm\8b\9f\92\8b\85{wm\88\9f\95\88\85{tmq\85{qjd]SSIC<6//("\1f\18\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\ 1\ 4\0\0\0\0\0\0"\1f\15\11\v\ 4\ 4\0?6/,(\1f\1f\18VPF?62/,d]SMC?96jd]SPFC<mg`VPIFCmj`ZPMFCmjdZSPICqmd]SPMCtmg`VPMFwtj`ZSPI{tjg]VSM~wqj`ZSP\81{tjd]ZS\85~wmg]]S\88\81wmj`]V\88\85{qjd]Z\8b\85{tmg`]\8e\85~tmjd]\8e\88\81wmjd]\92\88\81wqjg`\92\8b\85{qjg`\95\8b\85{tjg`\92\8e\85{qmjd\95\92\85{tqjd\98\8e\85~wmjd\98\92\88~wmjg×Ðƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîþÿÿøîäÝÓäôçÝÓÊùÐáÓÊù¯¬ÆÓÊÀ¹¯©\9fÆÐƹ³©¢\9cÆÍù¯¥\9f\95ÆÍù¯¥\9f\98ÃÍù¬¥\9f\95ÃÊÀ¶¯¢\9f\95ÃÊÀ¶¬¢\9c\95¼Æ¼³©¢\9c\92¹Ã¹¯¥\9f\98\8e¶Ã¶¬¢\9c\92\8b³¼³©\9f\98\92\8b³¹³©\9f\95\8e\88¯¹¯¥\9c\92\8b\85¯¹¬¢\98\92\8b\85¬¶¬\9f\98\8e\88\81©³©\9f\95\8b\88\81¥³©\9f\92\8b\85~¥¯¥\9c\92\88\85~¢¯¢\9c\8e\88\85~¢¯¢\98\8e\88\81{¢¬¢\98\8e\88\81{\9f¬\9f\98\8b\85~w\9f¬\9f\95\8b\85~w\9f©\9f\98\8e\85~w\81\8b\85{qjg`ZSMC?66/,%\1f\18\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\18\15\v\ 4\ 4\0\0\0/("\e\15\11\ e\aF<6/,("\1fZPF?962/g]SMF?<6md]SMF?<qj`VPMF?tj`ZPMFCtjdZSPICwjd]SPICwqg]ZPMF{qj`ZSPI~tjd]VPM\85wqj`ZSP\88{tjd]ZP\88~tjg`ZS\8b\81wmj`ZV\8b\85{qjd]Z\8e\85{qjg`Z\92\85{tjj`Z\95\88~wmg`]\95\88\81wqjd]\95\8b\81wqjg`\98\8e\85{qjg`\98\8e\85{tmj`\9c\8e\85~tmj`\9c\8e\85~tmjd\9f\92\88~tmjd\9f\8e\88\81wqjg\9f\92\88\81{qmg\9f\95\8b\81wtmj\9f\95\8b\85{tmg\9f\95\8b\85{tmj¢\95\8b\85{tmj¢\95\8b\85{tmj¢\95\8e\85{tqj¢\98\8b\85~wqj¢\98\8e\85~tqj¢\98\8e\85~wqj¥\98\8e\85~wqm¢\9c\8e\85\81wqj¥\9c\8e\88~wqm¥\9c\8e\88~wtm¥\9c\8e\85\81wqm©\9c\92\85\81{tj©\9c\92\88\81wtj©\9c\92\88~{tm©\9f\92\88\81{qm©\9f\92\88\81wtm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9c\92\88\81{tm¬\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¬\9f\92\88\85{tm©\9f\92\88\81{tmäÚÍù¯¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþøëäÚôûñäÚÐÊÃäçÝÐƼ¹¯ÚÚÓü³¬¥×Óʼ¶¬¥\9fÓÓƹ¶¬¢\9fÓÓƹ³¬¢\9fÓÐƹ³©¢\9fÐÐù¯©¢\9cÊÍù¯¥\9f\98Êʼ¹¬¢\9f\95Æƹ¯©\9f\9c\92Ãù¯¥\9f\98\8eÀÀ¶¬¢\9c\92\8b¼¼¶©\9f\98\92\88¹¹¯¥\9f\92\8e\85¹¹¬¢\9c\92\8b\85¶¶¬¢\98\92\88\85³³©\9f\95\8e\88\85³³©\9f\95\8e\85\81³³¥\9f\92\8e\85~¯¯¥\9f\92\88\85~¯¯¥\9c\92\88\85~¯¯¥\9c\92\88\85{¬¬¢\98\8e\88\81{¬¬¢\95\8e\85\81w¬¬\9f\95\8b\85\81w¬©\9f\95\8b\85~w©©\9f\95\8b\85~w©©\9f\95\8b\85{w©¥\9f\92\8b\85{w¥¥\9f\92\88\81{t¥¥\9c\92\88\81{t¥¥\9c\92\88\81{t¥¥\9c\8e\85\81wt¢¥\9c\8e\85~wt¢¥\9c\92\85\81wt¢¢\98\92\85~wq¢¢\98\8e\85\81wq¢¢\98\8e\85~wq¢¢\98\8e\85~wq¢¢\98\8e\85~tq¢¢\98\8e\85~wq¢¢\98\8b\85~wq\9f¢\95\8e\85~tq¢¢\98\8e\85~tq\9f¢\95\8b\85~tq\9f¢\98\8b\85~tq\9f¢\98\8b\85{wq¢\9f\95\8b\85~wm¢¢\98\8b\85{tm\9f\9f\95\8b\85~tq\9f\9f\95\8b\85~tm\9f\9f\95\8b\81~wm\9f\9f\95\8b\81{tm\9f\9f\95\8b\85{tm\9f\9f\95\88\85{tm\9f\9f\95\8b\85{tm\9f\9f\95\88\85{wm~\81{qj`]VSIC96/,,%\1f\18\11\v\ e\ 4\ 1\0\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0(\1f\15\ e\v\ 4\ 1\0C6/,%\1f\e\18ZPF<92/,j]SMF?96qd]SPFC<tj]VPIF?wj`ZPMF?wj`ZSPICwjd]SPFC{qg]ZPMF\81qj`ZSPI\85tjg]VPM\85wmj`ZSP\88{qjd]VP\8b~tmg]ZS\8e\81wmj`]S\92\85{qj`]V\92\85{tjg`]\92\85~tmj`]\98\88~wmj`]\95\88\81wmjd]\98\8b\85{qjg`\9c\8b\85{qjg`\9c\8e\85{tmg`\9f\8e\85~tmjd\9f\92\85~tmjg\9f\92\85~wqjg\9f\92\88\81wqjg\9f\95\88\81{qjg¢\92\88\85{qmg\9f\92\88\81wtmg¢\95\8b\85{tmj¢\95\8b\85{tmj¢\98\8b\85{tmj¢\95\8b\85~wqj¢\98\8e\85{tqj¢\98\8e\85~tqj©\98\92\85~wqj¥\98\8e\85~wqj¥\9c\8e\85~wqj©\9c\92\85~wqj©\9c\92\85~wqj©\98\92\85\81wqj©\9c\8e\88\81wqj©\9c\92\88\81wtj©\9c\92\88\81{tm©\9c\92\88\81wtj©\9f\92\85\81wtm©\9f\92\88\81{tm©\9f\92\88\81wtm©\9f\92\88\81wtm¬\9c\92\88\81{tm©\9f\95\88\81{tm¬\9f\92\88\85{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\85{tmçÚÐù¯¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿûôëáÚþøîäÚÐÊÀîçÝÓƼ¹³áÚÐù³¬¢ÝÓƼ¶¬¥\9fÚÓƹ³©¢\9fÚÐƹ³©¢\9fÚÐù¯©¢\9cÚÍù¯©\9f\9c×ÊÀ¹¬¥\9f\9cÓÆÀ³©¢\9c\92Óƹ³©\9f\9c\92Ðù¯¥\9f\95\8eÊÀ¶¬¢\9c\92\8bƼ³©\9f\98\92\88ƹ³¥\9f\92\8e\88ù¯¥\9c\92\8b\85ö¬¢\98\92\8b\85À¶©\9f\98\8e\88\81¼¶¬\9f\98\8e\88\81¼³¥\9f\92\8b\85\81¹¯¥\9c\92\88\85~¹¯¥\98\92\88\85~¹¯¢\9c\92\88\81{¹¬¢\98\8e\85\81{¶¬¢\95\8e\85~{¶©\9f\95\8e\85\81w\92\8b\85{qjg`ZPIC<62/("\1f\15\11\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\e\ e\a\ 4\0\0\0\0/%\1f\18\11\ e\a\ 4F96/,%"\1f]PI?96//j]SMF?<6qd]SPFC<tj`ZSMC?wj`ZPMF?wjd]SPIC{mg]VPMF~mj]ZPPI\81qj`]SPM\85wmg]VSP\85wqj`]VP\88{tjd]ZS\8b~wmj`]S\8b\81wqjd]V\92\85{qjd]Z\92\85{tjg`]\92\85~tjg`]\98\88~tmj`]\98\88\81wqjg]\95\8b\81wqjg]\9f\8e\85wtjg`\9f\8e\85{tmg`\9f\92\85~tmj`\9f\8e\85~tmjd\9f\8e\88~wqjdÝÐƹ¯©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿûîçÝÓþôëÝÓÊùîá×Êù³¬áÓÊÀ¶¯¥\9fÝÍƹ³©¢\9cÚÍù¬¥\9f\98ÚÍÀ¹¬¥\9f\95ÚÊù¯¥\9f\95ÚÊÀ¹¬¢\9f\95×Ƽ¶©¥\9c\95Óƹ³©¢\9c\92Ðù¯¥\9f\95\8eͼ¶¬\9f\98\92\8bʼ³©\9f\98\92\88ƹ¯©\9f\95\8e\85ƹ¬¥\9c\92\8b\85ö©¢\98\8e\88\85À³©\9f\95\8e\88\81À³¥\9f\92\8e\85~¼¯¥\9f\92\8b\85~¼¯¢\9c\92\8b\85~¹¯¢\9c\8e\88\81~¹¬¢\98\8e\88\81{¶¬\9f\95\8e\88\81{¶¬\9f\98\8e\85\81{¶¬\9f\95\8b\85\81w¶©\9f\92\88\85~w\95\8b\81wqjg]ZPI?<62/,"\1f\15\11\v\a\ 4\a\ 1\0\0\0\0\0\0\e\ e\a\ 1\0\0\0\0/%\1f\18\11\ e\a\ 4F<6/(%"\eZPF?96/,j]SMF?96qd]SMF?<tg]VPIC?tj`VPMF?wj`ZSPIC{j`]SPIC{mg]VPMF\81qj`ZSPI\81tjd]VPP\85wqg`ZSP\85{tjd]VS\8b~wmg`ZS\8e\81wmj`]V\8e\85{qjd]V\92\85{tjg`Z\92\85~tmg`]\95\88~tmgd]\98\88\81wqjg]\95\8b\81wqjg`\98\8b\85{qjg`\9c\8e\85{tjj`\9f\8e\85{tmj`\9f\8e\88~tqjd\9f\8e\88~tmjdÚÍù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþôîáÚÓþñäÚÓÆÀ¹îá×ÆÀ¹³©äÓʼ¹¬¥\9fÝÐù³©¢\98ÝÍù¬¥\9f\98ÚÊÀ¹¯¥\9f\98ÚÍÀ¹¬¥\9f\95Úʼ¶¬¥\9f\98Úʼ¶©¢\9c\92ÓƼ³©\9f\98\92Óƹ¬¥\9f\95\92ÐÀ¶¬¢\9c\92\8bͼ³©\9f\95\92\88ʹ¯¢\9f\92\8e\85ƹ¬¢\9c\92\8b\85ö©\9f\98\8e\88\85ö©\9f\95\8e\88\85À³¥\9f\92\8b\85\81À³¥\9f\92\8b\85~¼¯¥\9f\92\8b\85~¼¯¢\9c\8e\88\85~¹¯¢\98\8e\88\81{¹¬\9f\98\8e\88\81{¹¬\9f\98\8b\85~w¹©\9f\95\8b\85~w¹©\9f\92\8b\85~w\98\8b\81wqjd]ZPI?<62/,"\1f\15\11\v\v\ 4\a\ 4\0\0\0\0\0\0\e\11\a\ 4\0\0\0\0/(\1f\18\15\ e\v\aF<6/,%"\1fZPI?962,j]SMF?<6md]SPFC<tj]VPMF?tj`ZSMFCwjdZSPICwmd]SPIF{mg]ZPMF~qj`ZSPI\81tjg]VPM\85wqj`ZSP\88{qjd]VS\8b{tjg]ZS\8b~wmj`ZV\8e\81wqjd]V\8e\85{qjg]Z\8e\85~tjg`]\92\88~tmj`]\95\88~wqjd]\95\88\81wqjg]\9c\8b\85{qjg`\9c\8b\85{qmg`\9c\8e\85{tmj`\9f\92\85~tmjd\9c\92\88~wmjgÚÐƹ¯¥¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÚÓÿñçÚÓÊùñáÓÊÀ¹³©äÓÊÀ¶¬¥\9fÝÍù¯©\9f\98ÝÊö¯¢\9f\98ÚÊÀ¶¬¥\9f\98ÚÊÀ¶¬¢\9f\95ÚƼ¶¬¢\9f\95ÚƼ³©\9f\9f\95×ƹ³©¢\98\92Óù¯¥\9f\92\8eÍÀ¶¬¢\9f\92\8bʼ³©\9f\98\8e\88ƹ¯¥\9f\95\8e\88ƶ¬¢\9c\92\88\85ö¬\9f\95\8e\88\85ó©\9f\95\8e\88~ó¥\9f\95\88\85\81À³¥\9f\92\8b\85~¼¯¥\9c\92\88\81~¼¯¢\9c\8e\88\81{¹¯¢\9c\8e\88\81{¹¬\9f\95\8e\85\81{¹©\9f\95\8b\85~w¹©\9f\92\8b\85~w¹©\9f\95\8b\85~w¹¥\9f\92\8b\85~w¹¥\9f\92\88\81{t¶¥\9f\92\88\81{t¶¥\9c\92\88\81{t¶¥\9c\92\88\81{t¶¥\9c\8e\85\81wt³¢\9c\8e\85~wq³¢\98\8e\85~wq³¥\9c\92\85~wq¯¢\98\8e\85~wq³¢\98\8e\85~wt¯¢\98\8e\85~wq¯¢\92\8b\85~wq¯¢\95\8e\85~wm¯\9f\95\8b\85~wq¯¢\92\8b\81{wq¯\9f\95\8b\85~tq¯\9f\95\8b\85{tq¯\9f\95\8b\85~tq¯¢\95\8e\85~tq¬\9f\95\8b\85~tq¬\9f\95\8b\85{tq¯\9f\92\8b\81~tm¯\9f\95\8b\85{tm¯\9f\95\8b\85{tq¬\9f\92\88\85{tq¬\9f\92\8b\85{tm¬\9f\95\88\81{tm¯\9f\92\88\81{wm¯\9f\95\88\81{tm¬\9f\92\8b\85{tm¬\9f\92\88\85{tm\8e\85wmj`]VPIC92/,(%\1f\15\ e\v\ 4\ 4\0\ 1\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0%\1f\18\11\a\ 4\ 1\0?6/,%\1f\e\15VMC<62/,gZSIC?96jd]SMF?<qj]VPIC?tj`VSMF?tj`ZSMFCwjd]SPICwmg]SPMF{qj]ZSPI~tjd]VPM\85wmg`ZSP\85{qjd]VP\88{tjg`ZS\8b\81wmg`]V\8e\85{mjd]V\92\85{qjd]Z\92\85{tmg`Z\92\88~tmj`]\92\88~wmjd]\95\88\81wqjg]\95\8b\85wtjg`\98\8b\85{tmg`\9c\8e\85{tmj`\9c\8e\85~tmjd\9c\8e\85~tqjgÚÊù¯©¢\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôëÿÿþôîá×ÐÿñäÚÓÆùñÝÓÆÀ¹³©äÓʼ¶¬©\9fÝÍù¯©\9f\98ÚÍù¬¥\9f\98ÚÊÀ¹¬¥\9f\95Úʼ¹¬¥\9f\95×ÊÀ¶¬¥\9f\98ÓƼ³©¢\9c\98Óƹ³¥\9f\98\92Ðù¯¥\9f\95\8e춬¢\9c\92\8bʼ³©\9f\98\92\88ƹ¯¥\9f\95\8b\88ƹ¬¢\9c\92\88\85ƹ¬¢\98\92\88\85ó©\9f\95\8e\85\85À³©\9f\92\8e\85\81À³¥\9f\95\8b\85~¼¯¢\9f\92\88\85~¹¬¢\9c\92\88\81~¹¯¢\9c\8e\88\81{¹¬\9f\98\8e\85~{¹¬\9f\98\8b\85~w¹©\9f\95\8e\85~w¹©\9f\92\8b\85~w\98\8b\81wqjd]VPI?<62/,"\1f\15\11\v\a\ 4\v\ 4\0\0\0\0\0\0\18\11\a\ 4\0\0\0\0/(\1f\18\11\ e\v\aC<6/,%"\1fVPF?96/,g]SMF?96md]SPFC<qg]SPIC?qj`VPMFCtj`ZSMICtjd]VPICwmg]ZPMF{qj`ZSPI~tjd]VPM\81wmj`ZSP\85{qjd]VP\88~tmg]ZS\88\81tmj`]V\8b\85wqjd]V\8e\85{qjd]Z\8e\85{tjg`]\92\88~tmg`]\92\88\81wmjd]\95\8b\81wqjg]\95\8b\81wqjg`\98\8e\85{tmg`\98\8e\85{tmj`\9c\92\85~tmj`\9c\8e\85~wmj`\9f\92\85~tqjg\9f\92\88\81wqjd\9f\92\88\81wqmg\9f\92\88\81wqjg\9f\92\8b\81wqmj\9f\92\8b\81{tmj\9f\95\8b\85{tmj¢\95\8b\85{tmj¢\95\8e\85~tmj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~wtj¢\9c\8e\85~wtj¢\9c\92\85~wtm¢\98\8e\85\81wtm¥\98\8e\85~{tj¢\9c\8e\85\81wtm¥\98\8e\85\81wtm¢\9c\92\85\81{tm¥\9c\92\85\81wtj¥\9c\8e\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtmä×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôëáÚÿûîä×ÐÊÃøçÚÐƼ¶¯ëÚÐù³¬¥äÓÆÀ¶¬¥\9fÝÐƼ³©¢\9cÝÐƹ¯©¢\9fÝÓù¯©¢\98ÚÍù¯©\9f\98×ÍÀ¹¬¥\9f\98ÓƼ¶©¢\9c\95Óƹ¯¥\9f\98\92Ðù¯¢\9f\92\8eʼ¶¬\9f\98\92\8bʹ³©\9f\95\8e\88ƹ¯¢\9f\92\8e\85ƹ¬¢\9c\92\8b\85ö©¢\98\8e\88\81À³©\9f\95\8e\88\81¼³¥\9f\95\8b\85\81¼¯¥\9c\92\8b\85~¹¯¢\9c\92\88\85~¹¬¢\9c\92\88\81~¹¬¢\98\8e\88\81{¹¬\9f\98\8e\88~{¹¬\9f\98\8b\85~w¶©\9f\95\8b\85~w¶©\9f\92\8b\85~w¶©\9f\92\8b\85~t³¥\9f\92\88\85~t³¥\9c\92\88\81{t³¢\9c\8e\88\81{t³¢\9c\92\88~{t³¢\98\92\85\81wt¯¢\9c\8e\85~wq¯¥\98\8e\85~wt¯¢\95\8e\85~wq¯¢\98\8e\85~wq¬¢\95\8e\85~wq¯¢\95\8e\85~wq¬¢\95\8e\85{wq¬\9f\95\8e\85~tq¬\9f\95\8b\85~tm¬\9f\95\8b\85~tq¬\9f\98\8b\81~wm¬\9f\95\8b\85{tm¬¢\92\8b\81~tq¬\9f\95\88\85{tm©\9f\92\8b\81{tq©\9f\92\8b\81{tm¬¢\95\8b\81{wm©\9f\92\8b\81{tm¬\9f\92\88\85{tm¬\9f\92\88\81{tm©\9f\92\8b\81{tm¬\9f\95\88\85{tm©\9f\92\8b\85{wm¬\9f\92\88\85{tm©\9f\92\88\81{tm\8e\81wqjd]ZPIC<6/,,%\1f\e\11\v\a\a\ 4\ 4\0\0\0\0\0\0\0\ e\a\ 1\0\0\0\0\0"\1f\15\ e\v\a\ 4\ 1<6/,%\1f\1f\18SMC<62/,`]PMC<96jdZSMFC<mg]SPIF?qj]ZPIFCqj`ZPPFCtj`ZSPICwmg]VPIFwqj`ZSPI~tjd]VPI\81wmg]ZSP\85{qjdZVP\85~tjg]ZS\88~tmg]]S\88\81wmj`]V\8b\85{qjd]Z\8e\85{tjg`Z\8e\85{wmg`]\92\88~tmjd]\92\88\81wqjd]\95\8b\85wqjd]\95\8b\85{tmg`\95\8b\85{tmjd\9c\92\85{tmjd\9c\8e\85~tmjd\9c\92\88~wqjd\9c\92\88~wqjd\9f\92\88\81wqmg\9f\95\88\81wqjg\9f\95\88\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85~wqj¢\98\8e\85~wmj\9f\95\8e\85~wqj\9f\95\8e\85~tqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85\81wtm¢\9c\8e\88\81wqj¥\9c\92\88\81wtj¢\9c\92\88\81wtj¢\9c\92\85\81wqm¥\9c\8e\88~wtj¥\9c\92\88~wtm¢\9c\92\85\81{tm¥\9f\92\88\81wtm¥\9f\92\85\81wtm¥\9f\92\88\81wtm¥\9f\95\88\81wqm¥\9c\92\88\81{tmä×Íù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿûñîáÚÿûîá×ÐÆÀôäÚÐƼ¶¬ç×Ðù³¬¢ÝÓƹ¶¬¥\9fÝÐù³©¢\9cÚÍù¯©¢\9cÝÍù¯©\9f\9c×Íù¯¥\9f\98×ʼ¶¬¥\9f\98ÓƼ¶©¢\9c\92Ðƹ³¥\9f\98\92Íö¬¢\9c\92\8eÊÀ³¬\9f\98\92\8bù¯©\9f\98\8e\88ù¬¥\9c\95\8b\85ö¬¢\9c\92\88\85À³©\9f\95\92\88\81¼¶©\9f\95\8e\88\81¼³¥\9f\92\8b\85~¹¯¥\9f\92\8b\85~¹¯¢\9c\8e\88\81~¹¯¢\9c\8e\88\81{¶¬¢\9c\8e\88\81{¶¬¢\95\8b\85\81w¶¬\9f\95\8b\85~w³©\9f\92\8b\85~w³©\9f\92\8b\85~w³¥\9f\92\88\85~w³¥\9f\92\88\81{t¯¥\9c\8e\88\81{t¯¥\98\8e\88\81{t¬¢\98\92\85\81wt¯¥\98\92\85\81wt¬¢\98\8e\85\81wt¬¢\98\8e\85~wq¬¢\95\8e\85~tq¬¢\95\8b\85~wq¬\9f\98\8b\85~wq¬¢\95\8b\85~wq¬¢\95\8b\85{wm©\9f\95\8b\85{tm©\9f\95\8b\85{tq©\9f\95\8b\81{tq©\9f\92\8b\81{tq©\9f\92\8b\81{tm©\9f\95\88\81~tq©\9f\95\88\85{tm©\9f\92\8b\85{tm©\9f\95\88\85{tm©\9f\95\8b\81{tm©\9f\95\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\95\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81wtj\8e\81wmj`]VPIC96/,("\e\18\ e\v\ 4\ 1\0\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 4\0<6/,"\1f\e\18SMC962/(`ZSMC?96jdZSMF?<mg]VPIF?qj`ZPMF?qj`ZPMFCqm`ZSPICtmd]VPMFwqj`ZSPF{tjd]SPI\81wmg]ZSP\85wqj`]SP\85~tjd]VS\85~tmg`]V\88\81wqjd]Z\8b\85{mjd]V\8e\85{tjg`Z\8e\85~tmg`]\8e\88~tmjd]\92\88\81wqjg]\95\8b\81wqjg`\92\8b\85{qjg`\95\8b\85{qmj`\98\8e\85{tmjd\9c\8e\85~tmjd\9c\8e\85\81wqjg\9c\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81{tmg\9f\92\88\81wqmg\9f\95\88\81{tmj\9f\95\88\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\98\8b\85{tmj\9f\95\8e\85{tqj\9f\98\8b\85~wmj¢\98\8e\85{tqj¢\98\8e\85~wmj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~{tm¥\9c\8e\85~wqj¥\9c\92\85\81wtm¢\9c\8e\85\81wtm¢\9c\8e\88\81wqm¢\9c\92\88\81wqj¥\9c\92\88\81wtm¢\9f\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\85\81wtm¥\9f\92\88\81wtmáÓÍù¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëá×ÿøîá×ÐÆÀîäÚÍƼ¹¬äÚÍù³¬¢ÝÓƹ³©¢\9fÚÐù³©¢\9f×Ðù¯©\9f\9c×ÍÀ¹¯©\9f\9f×ÍÀ¹¬©\9f\98Óʼ¶¬¥\9f\95ÓƼ³©¢\9c\95Ðƹ¯¥\9f\95\92Êö¯¢\9f\95\8eÊÀ¶¬\9f\9c\92\8bƼ¯¥\9f\98\8e\88ù¯¢\9c\92\8b\85À¹¬¢\9c\92\88\85¼¶©\9f\98\92\88\81¼³©\9f\95\8e\85\81¹³¥\9f\95\8b\85~¹¯¢\9c\92\88\85~¹¯¥\9f\8e\8b\85~¹¬¢\9c\8e\88\81{¶¬\9f\98\8e\88\81{¶¬\9f\95\8b\85\81w³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯©\9f\95\8b\85{w¯©\9c\92\88\85{w¯¥\9f\92\88\81{t¯¥\9c\92\88\81{t¬¥\9c\92\85\81{t¬¢\9c\8e\85\81wt¬¢\98\8e\85~wt¬¢\98\8e\85~wq©¢\98\8e\85~wt¬¢\98\8e\85~wq©¢\98\8e\85~tq©¢\95\8b\85\81wq¬\9f\95\8e\85~wq©\9f\95\8b\85{tq©¢\95\8b\81~wq©\9f\95\8b\85{wm©\9f\95\8b\85{wq©\9f\92\8b\85{tq¥\9f\92\88\85{tm©\9f\92\8b\81{tm©\9f\92\8b\85{tm¥\9f\92\88\81{tm¥\9f\95\88\81{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\8b\81{tm¥\9f\92\88\81{qm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm©\9f\95\88\81{qm¥\9f\92\88\81{tm\88\81wmg`]VPI?96/,("\1f\15\ e\v\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 4\0<6/("\1f\1f\18SMC962/,`ZPIC<96jdZPMF?<mg]VPIF<mg]VPMF?qj`ZPMF?tjd]SPICwmg]VPMFwqj`ZPPI{tj`]VPI\81wmg`ZSP\81{qjd]VP\85~tjg]ZS\88~tmg`]S\88\81wmj`]Z\8b\81wqjd]Z\8b\85{tjg`Z\8e\85~tmj`]\92\88~tmjd]\92\88\81wqjg]\92\88\81wqjg`\95\8b\85{tjg`\95\8b\85{tjg`\95\8b\85{tjj`\98\8e\85~tmjd×Íù¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîäÚÓþñçÚÓÆùëÝÓÆÀ¹¯©ÝÓƼ¶¬¥\9f×Íù¯¥\9f\9c×ÊÀ¶¬¢\9f\95Óʼ¶¬¥\9f\95Óʼ³¬¢\9f\92ÓƼ³¬¢\9f\92ÓƼ³©\9f\9c\92Ðƹ¯¥\9f\98\92Êù¬¥\9f\95\8eÊÀ³©¢\98\92\8bƹ¯¥\9f\95\92\88ù¯¢\9c\92\8e\85À¶¬¢\98\8e\8b\85¼³©\9f\95\92\88\85¼³©\9f\95\8b\85\81¹¯¥\9f\92\8b\85~¹¯¥\9c\92\88\85~¹¬¢\9c\92\88\85{¹¬¢\98\8e\85\85{¶¬¢\98\8e\85\81{¶¬\9f\95\8b\85~{³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯¥\9f\92\88\85~w\92\88\81wqjd]VPI?962/,%\1f\18\11\ e\v\a\v\ 4\0\0\0\0\0\0\15\11\a\ 4\0\0\0\0,%\1f\18\11\ e\v\ 4C96/,"\1f\eVPF?92/,d]SIC<96j`ZPIF?9jg]SPIC<mj]VPMF?qj`VPIF?qj`ZSPICtmd]VPMFwqj`ZSPI{tjd]VPI~wmg`ZSP\85wmj`]SP\85~tjd]VS\88~tmg`ZS\8b\81wmj`]V\8b\85wqjd]Z\8e\85{tjg]Z\8e\85{tmgd]\8e\85~tmjd]\92\88~wqjd]\92\8b\81{qmg]\95\8b\85wqjg]\95\8b\85{qjj`\98\92\85{tmj`\98\8e\85~tmjg×Íù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûñîÿÿþøëá×ÓûñäÚÐÊÀ¹çÝÐƼ¹¯©ÚÓƼ³¬¥\9fÓÊÀ¹¬¥\9f\98Óʼ¶¬¢\9f\95Óʼ¶©¢\9c\95Óʼ¶¬¢\9c\95ÓƼ³©¢\9c\95ÓƼ³©¢\98\95Íƹ¯¥\9f\98\92Êù¬¢\9f\95\8eƼ¶¬\9f\9c\92\8bü¯¥\9f\95\8e\88À¹¯¥\9f\92\8e\85¼¹©¢\98\92\88\85¼³©\9f\98\8e\88\85¼³¥\9f\92\8b\85\81¹¯¥\9f\92\8b\85~¹¯¢\9f\92\8b\85\81¹¬¢\9c\8e\88\85{¶¬¢\95\8e\88\81{³¬\9f\95\8e\88~{³¬\9f\95\8e\88~w³©\9f\95\8b\85~w³©\9f\95\88\85{t¯©\9c\92\88\85{t\92\8b\81wqj`]VPF?96//,"\1f\15\11\v\a\ 1\a\ 4\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,"\1f\15\15\ e\a\ 4C92/("\1f\1fVPF?96/,`ZPIC<96j`ZPIFC9mg]VPFC?mg]VPIF?qj`ZPPFCtjd]SPICwmg]VPMFwqj`ZSPF{tjd]VPM~wmg`ZSP\85{qj`]VP\85~tjd]ZS\88~wmg`]S\88\81wmj`]V\8b\85{qj`]Z\8e\85{qjg`Z\8e\85~qmj`]\92\85~tmg`]\92\88~wqjd]\95\88\85wqjg`\92\8b\81{tjg`\95\8b\85{qmg`\98\8e\85{tmgd\98\8e\85~tmj`\9c\8e\85{wmjd\9c\8e\88~wmjd\9c\92\88~wqjd\9c\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\81wtmg\9f\95\8b\81{tmj\9f\95\88\81{tmg\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj¢\95\8b\85~tqj¢\95\8e\85{wqj¢\95\8e\85{tqg\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\95\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wtj¢\9c\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\9c\8e\85\81wqm¢\9c\8e\85\81{tm¥\9c\8e\88~{qm¥\9c\8e\88\81wqj¥\9c\92\85\81wtm¥\9c\92\85\81wtm¢\9c\92\88\81wtm¥\9c\8e\85\81wqm¥\9f\8e\88\81{tmá×ÊÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëá×ÿøîá×ÍƼîäÚÐƼ¶¯ä×ÍÀ¹³©¢ÝÓƼ¶¬¥\9f×Ðù¯©¢\9cÚÐù¯©¢\9c×Ðù¯¥¢\98×ÊÀ¶¯©\9f\98Óʼ¶¬¢\9f\95ÓƼ³©¢\9f\95Ðƹ¯¥\9f\9c\8eÊÀ¶©¢\9c\95\8bƼ¶©¢\98\92\88ƹ¯¥\9f\92\8e\88ù¬¢\9c\92\8b\85À¹¬\9f\98\92\88\85¼¶©\9f\95\8e\88\81¼³©\9f\95\8b\88\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85{¹¬¥\9c\92\88\85~¶¬¢\95\8e\85\81w¶¬\9f\98\8e\85\81{¶©\9f\95\8b\85~w³©\9f\92\8b\85~w¯¥\9f\92\88\85~t¯©\9f\92\88\85~w¯¥\9f\92\88\81{t¬¥\9c\92\88\81{t¬¢\9c\8e\88\81{t¬¥\98\8e\85\81{t¬¢\98\8e\85~wq¬¢\95\8b\85~{q¬¢\9c\8e\85~wq¬¢\95\8e\85~tm¬\9f\98\8b\85~wm¬\9f\98\8b\85~wq©\9f\92\88\85{wq©\9f\95\8b\85{wm©\9f\95\88\81{tm©\9f\92\8b\85{tm©\9f\92\88\85{tm©\9f\92\8b\81{tm©\9f\95\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm¥\9f\92\88\81{tm©\9f\95\88\81{tm¥\9f\92\88\81wqm©\9f\92\88\81wtm¥\9f\92\88\81wtj¥\9f\8e\88\81wqm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88\81wtm\88~wmj`]SPI?66/,("\1f\15\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\18\ e\v\a\ 4\ 1<6/,%"\1f\18SMC<66/,d]PPC?96jdZSPFC<mg]SPMF?mj]ZSMF?qj`VPIF?qj`ZSPICtmd]SPMFwqg`ZPPI{tj`ZVPI~wmg]ZSP\85wmj`ZSP\85{qjd]VS\88~tmg]ZV\88\81wmj`]S\88\81wqjg]Z\8b\85{tjg]Z\8e\85{tjg`]\8e\85~tmj`]\92\88~tmjd]\92\88\81wqjg]\95\8b\85wqjg`\95\8b\81{tjg`\98\8b\85{tjj`\95\8e\85{tmj`\9c\8e\85~tqj`\9c\92\85~wmjg\9c\92\88~wqjg\9f\92\88\81wqjg\9f\92\88~wqjg\9f\92\88\81wqmg\9f\92\88\81{tmj\9f\95\8b\81{tmg\9f\92\88\81{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\98\8e\85~tqj\9f\95\8e\85{wqj\9f\95\8b\85{wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85\81wtj¢\9c\92\85\81wtj¢\9c\8e\85~wtm¢\9c\92\85~wqj¢\9c\8e\85~wtm¢\9c\92\85~wtj¢\9c\92\85\81wqj¢\9c\92\85\81wqj¢\9c\92\85\81{tm¥\9f\92\85\81{tm¢\9c\92\88\81wtjáÓÊÀ¹¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëá×ÿøîá×ÐƼîäÚÍƼ¶¬ä×Íù¯©\9fÝÓƼ³©¥\9fÚÍƹ¯©¢\9cÚÍù¯©\9f\9cÚÊƹ¯¥\9f\9c×Êö¬¥\9f\98ÓÊÀ¶¬¢\9f\92ÓƼ³©\9f\9c\92Íù¯¥\9f\95\8eÊÀ¶¬¢\9c\95\8eƼ³©\9f\95\92\88ƹ¯¥\9f\95\8e\88ù¯¢\9c\92\8b\85À¶¬\9f\98\8e\88\85¼³©\9f\95\8e\88\81¹¯©\9f\95\8e\85\81¹¯¥\9c\92\88\85~¹¬¥\9c\92\88\85{¹¬¢\95\8e\88\85{¶¬¢\95\8e\88\81{¶¬¢\95\8b\85~w¶©\9f\92\8e\85~w³©\9f\95\8b\85~w³¥\9f\92\8b\85~w\95\8b\81wqjd]ZPI?96/,,"\1f\15\ e\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,%\1f\15\11\v\a\ 4C96/("\1f\eVPF<66/,dZSMC?96j`ZPMF?9mg]SPFC<mg]SPIC<qj`VPIFCtj`ZSPICtjd]VPICwmj`ZPPF{qjd]VPI~tmg]ZSP\85{qj`ZVP\85{qjd]ZS\88~tmg`ZS\88\81wmj`]V\8b\81{qjd]V\8e\85{qjg`Z\8e\85{tmg`]\8e\88~tmj`]\92\88~tqjg]\92\8b~wqjd]\92\88\81{qjg`\95\8e\85{qmj`\98\8e\85{tmjd\98\8e\85~tmj`ÓÊÀ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôîÿÿþôëáÚÓûñäÚÐÊÀ¹çÝÐƼ¹¯©ÝÓƼ³¬¥\9fÓÍÀ¹¯¥\9f\98Óʼ¶¬¢\9c\98Óʼ³¬¢\9f\95Óʼ¶©¥\9c\95Óƹ³©¢\9c\92Ðƹ³©¢\9c\92Íƹ¯¥\9f\95\8eÊÀ³¬¢\9c\95\8eƼ³©\9f\95\92\88ù¬¥\9f\98\8e\85ù¬¢\9c\92\8e\85¼¶©\9f\98\92\88\85¼³¥\9f\95\8e\88\81¼¯¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¹¯¢\9c\8e\8b\85{¶¬\9f\9c\8e\88\85{¶¬\9f\98\8e\88\81w³¬\9f\95\8b\85~{³©\9f\95\8b\85~w¯¬\9f\92\8b\85~w¯©\98\92\8b\85~w¯¥\9c\92\88\85~t¯¥\98\92\88\81{t¯¥\98\92\88\85{t¬¢\98\8e\85\81{q¬¢\95\8e\85\81wt¬¢\98\8e\85\81wt¬¢\95\8b\85~wt¬¢\95\8e\85~wt¬¢\95\8e\85~wq©\9f\95\8b\85~tq©\9f\92\8e\85~wq©\9f\92\8b\85{tq©\9f\92\8b\85~wq©\9f\92\8b\81~tq©\9f\92\8b\81{tm©\9f\92\8b\81~tm¥\9f\92\8b\81~tm©\9f\92\8b\81{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wqm¥\9f\92\88\81{tm¥\9f\92\88~{tj¥\9f\92\88\81{tm¥\9f\92\88~{qm¥\9f\92\88~{qm¥\9f\92\88~{tm¥\9f\92\88~wqj¥\9f\8e\88\81{tj\88\81wmg`]SPF?92/,("\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\1f\11\ e\a\ 4\ 4\0<6/("\1f\1f\18SMC<62/,`ZPIC?96jdZPMF?9md]SPIF?mj]ZPMF?qj`ZPMFCtj`ZSPICtmd]VPMFwmg`ZSPI{tj`]VPI~wjg]ZSP\81wqg`]VP\85{tjd`ZS\85~tmg`ZS\88~wmg`]V\88\81wqj`]Z\8b\85{qjd]Z\8e\85{tjj`Z\8e\85{tmjd]\92\88~wmjd]\92\88\81wqjg]\92\88\85wqjg]\95\8b\85wqjg`\95\8b\85{tmg`\95\8e\85{tmjd\9c\8e\85~tmjd\9c\8e\85~wmjd\9c\8e\85~tqjd\9c\92\88\81wqjg\9f\92\88\81wqmg\9c\92\88\81wqjg\9f\92\88~wqmg\9f\95\8b\85wqmg\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj\9f\95\8e\85~tmj\9f\98\8b\85{tqj\9f\95\8e\85~tqj¢\98\8e\85~wmj\9f\95\8e\85{tmj\9f\9c\8e\85~wqj¢\98\8e\85~wmj\9f\98\92\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85\81wqj¢\98\92\85~wqm¢\98\92\85~wqj¢\9c\92\85~wqm¢\9c\92\85~{tm¢\9c\92\88\81{tm¢\9c\92\88\81wtjáÓÊÀ¹¯©\9fÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþñëáÚÿøîáÓÐƼîä×ÐƼ¶¬á×Íù³©¢ÝÐƹ³¬¢\9fÚÐù¯©\9f\9c×Íù¯©\9f\9c×Íù¬©\9f\9cÓÍù¬¥\9f\98ÓÊÀ¹¬¥\9f\95Ðƹ³©¢\98\92Ðƹ¯¢\9f\95\8eÊÀ¶¬¢\9c\95\8eƼ³©\9f\95\8e\8bƹ¯¥\9f\95\8b\88À¹¬¢\95\92\88\85¼¹¬\9f\98\92\88\85¼¶©\9f\95\8e\85\85¹³©\9f\95\8e\85\81¹¯¥\9f\92\8b\85\81¹¯¢\9c\8e\8b\85{¶¬\9f\98\8e\88\81{¶¬¢\98\8e\85\81{³¬\9f\98\8b\85~{³©\9f\92\8b\85~w³©\9f\95\88\85{w¯¥\9c\92\88\85{w\92\88~wmjd]ZPF?<62/,"\1f\15\11\ e\v\ 4\a\ 4\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,%\1f\15\11\ e\a\ 4?<2/(%\1f\eVPC?66/,`ZPIC<96j`ZPIC?9md]SPIC<qg]VPMF?qj]ZPMF?tj`ZSPICtmd]VPICwmg]ZSPF{qj`ZSPM~wmg]VSP\81{mj`ZVP\85{qj`]VP\88~tmg`ZV\88\81tmg`]V\88\81wqjd]V\8e\85{qjg]Z\8e\85{tmg`]\8e\85~tmgd]\92\88~tmj`]\92\8b\81wqjd]\92\8b\81{qjg`\95\8b\81{tmj`\95\8b\81{tmj`\98\8b\85{tmj`×ʼ¶¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôçáÚÐøîáÚÍÆÀ¹äÝÐƼ¶¯©ÚÐü³¬¢\9f×ʼ¶¬¥\9f\98ÓƼ¶©¢\9f\95Óƹ³©¢\9f\95ÓƼ³©¢\9c\92Óƹ³¬¢\9f\92Ðƹ³¥¢\9c\92Íù¯¢\9f\98\92ÆÀ³¬¢\9c\95\8bƼ¯©\9f\9c\92\8bù¯¥\9f\95\8e\88ù©¢\9c\92\8b\85¼¶©¢\98\92\88\85¼³¥\9f\95\8e\85\81¹¯¥\9f\92\8e\85~¹¯¢\9c\8e\8b\85~¶¯\9f\9c\8e\88\85~¶¬\9f\9c\8e\88\81{¶¬\9f\95\8e\88\81{¶©\9f\95\8b\85\81w³©\9f\98\8b\85~w³©\9f\92\88\85~w³©\9c\92\88\85{w¯¥\9c\92\88\85{t\92\88~wmjd]VPF?92/,(\1f\e\11\11\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0,"\1f\15\11\ e\v\ 4?92/("\1f\eSMC?96/,dZPIC<96j`ZPMFC9md]SPIF<mg]VPMF?qg]VPPFCqj`ZSPMCtjd]VPMFwqg]ZSPI{qj`]VPM~tmj]ZSP\85wmj`ZVP\85{qjd]ZP\85~tjg`ZS\88~tmj`]V\88\81{mjd]V\8b\85{qjd]Z\8e\85{qjg`Z\8e\85~tmj`]\92\88~tmjd]\92\88\81wmjd]\92\88\81wqjg`\95\8b\81wtjj`\98\8e\85{tmj`\98\8e\85{tmj`×ÊÀ¹¬¥\9f\95ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþôëá×ÓûñäÚÐƼ¹çÝÓƼ¶¬©ÚÓƼ³¬¢\9fÓÊÀ¹¬¥\9f\95ÓƼ¶©¥\9c\92ÓƼ³©¢\9c\92ÓƼ³©¢\9c\92Óƹ¶©¢\9c\95Ðƹ¯¥\9f\98\92Êù¯¢\9f\95\92ÆÀ¶¬\9f\9c\92\8bƼ³©\9f\95\8e\8bù¯¥\9f\95\8e\88À¹¬¢\98\92\88\85¼³©\9f\98\92\88\85¹³©\9f\92\92\85\81¹³¥\9f\92\8e\85~¹¯¢\9c\92\8b\85~¹¬¢\9c\8e\88\81~¶¬\9f\98\8e\88\81{¶©\9f\98\8b\88\81{³©\9f\98\8b\85~w³¬\9f\92\8b\85~w³©\9f\92\88\85{w¯©\9f\92\88\85{w¯¥\9f\92\88\85{t¯¥\9c\92\88\81{t¯¥\9c\8e\88\81wt¬¢\9c\92\85~wq¬¢\9c\8e\85~wt¬\9f\95\8b\85\81wq¬\9f\95\8b\85~wq¬\9f\98\8b\85~tm©\9f\95\8b\85~tm©\9f\95\8e\85~tq©\9f\95\8b\81~tq©\9f\92\8b\81{tm¥\9f\95\8b\81{tm©\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm©\9f\95\88\81{tm¥\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{qm¥\9f\92\88~wqm¥\9f\92\88\81{qm¥\9f\92\88\81{qm¥\9f\92\88\81{qm¥\9f\92\88~{qm¥\9f\92\88\81{qm¥\9f\92\88~{tm¢\9f\92\88~{qm¥\9f\92\88\81{qm¢\9c\92\88~{qm¢\9f\92\88~wqj\88\81wmgd]SPI?96/,("\1f\18\ e\a\a\ 4\0\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\11\ e\a\ 4\ 1\096/("\1f\e\15SIC962/(`ZPIC<96j`]PIC?9mg]SPIC?mg]ZPIF?qj`VPIF?qj`ZSPFCtjd]SPICwmj]VPIF{qj`ZSPI~tmg]VSP\81wmg`ZSP\85{qjd]VP\85~tjd]ZS\88~wmg`ZV\88\81wqj`]V\8b\85{qjg]Z\8e\85{qjd`Z\8e\85~tmj`]\92\85~tmj`]\92\88~wqjd]\92\88\81wqjg]\95\88\81wqjg`\98\8e\85{tjj`\95\8e\85{tmjd\98\8e\85~tmjd\98\8e\85{tqjd\98\92\85~wmjd\9c\8e\88~wqmg\9c\92\88~wqmg\9f\92\88\81wtjg\9f\92\88\81wtmg\9f\92\88\81wtmj\9f\95\88\81{tmj\9f\95\88\85{tmj\9f\92\88\85{tmj\9f\98\88\85{tmj\9f\95\8b\85{tmj\9f\95\88\85{tqj\9f\95\8b\85{wqj\9f\95\8b\85{tqj\9f\98\8e\85{tqj\9f\98\8b\85~wqj\9f\98\8b\85~wmj\9f\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj\9f\9c\8b\85~wqj¢\98\8b\85~wqj¥\9c\8e\85~wqj¢\9c\8b\85~wqj¢\9c\8e\85~wtm¢\98\8e\85~wtj¢\98\8e\85~wtj¢\98\8e\85~wqjáÓÆÀ¹¯©\9fÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîÿÿÿûîçá×þôëÝÓÍÆÀîá×Íù¶¬á×ÊÀ¹¯©¢ÚÐƹ¯¬¥\9c×Íù¯©\9f\95×ÊÀ¹¯¥\9f\98Óʼ¶¯¥\9f\95Óʼ¶¬¥\9f\95Óƹ³¬¢\9f\95Ðƹ¯¥\9f\9c\92Êù¬¥\9f\98\92ʼ³¬\9f\9c\92\8bƹ³©\9f\95\92\88ù¬¥\9f\92\8e\85À¹¬¢\9f\92\8b\85À¶©\9f\98\8e\88\85¼³©\9f\95\8e\88\81¹¯¢\9f\92\8b\85~¹¯¢\9c\92\8b\85~¹¬¢\98\92\88\85{¹¬¢\98\8e\88\85{¶¬\9f\95\8e\85\81{³©\9f\95\8e\85\81w¯©\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9c\95\88\85~t¯¥\9c\92\88\81{t¯¥\9c\92\88\81{t¬¥\9c\8e\85\81{t¬¢\98\8e\85~{t¬¢\95\8e\85~wq¬¢\95\8e\85~wq¬\9f\95\8b\85{wq¬\9f\95\8b\85~wq©\9f\92\8b\85~wq©\9f\92\8b\81{wq©\9f\92\8b\85{wm©\9f\92\8b\81{tm©\9f\95\88\81{wm©\9f\92\88\85{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\8e\85\81wtm¥\9c\92\88\81wtj¥\9f\92\85\81wtj¥\9c\92\88~{tj¥\9c\92\85\81{qj¥\9f\92\85~wqj¥\9c\92\85~wqj¥\9f\92\85~wqm\88~wmg`]SPF?92/,("\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\11\ e\a\ 4\ 1\0<6/,"\1f\e\15PIC96/,(`VPIC<66j`ZPIF?<jg]SPFC?mg]VPIC?qg`VPMF?qj`ZSMFCtjd]SPICwmg]VPMF{qj`ZSPI~tmd]VPM\81wmg`ZSP\85{qj`]VS\85{tjg]ZS\88\81wmg`ZV\88\81wmjd]V\8b\85{qjg]Z\8e\85{tjg`Z\8e\85~tjj`]\8e\85~wmjd]\92\88\81wmjg]\95\88\81{qjg`\95\88\85wqjg`\95\8e\85{tmg`\95\8e\85{tmj`\95\8e\85~tmj`\98\8e\85{wmjd\9c\8e\88\81tqjg\9c\8e\88~wqjd\9c\92\88~wqjg\9f\92\88\81wqjg\9f\92\88~wqjg\9f\95\88\81{qjj\9f\95\88\81wtmg\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\95\8e\85{tmj\9f\95\8e\85{tmj\9f\98\8b\85{tqj\9f\98\8e\85~tqj\9f\95\8b\85~tmj\9f\95\8e\85{wqj\9f\98\8e\85~tqj¢\98\8e\85~wmj¢\98\8b\85{wqj\9f\98\8e\85~wqj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\95\8e\85\81wqj¢\98\8e\88~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\9c\8e\85~{tm¢\98\92\85~{tj¢\9c\8e\85~wqmÝÓÆÀ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþûîÿÿÿøîäÝÓþôçÝÓÊƹîá×ÊÀ¹¶¬áÓÊÀ¹¯©\9fÚÐù¯¬¢\9c×Íù¯©¢\9c×ÊÀ¹¯¥\9f\98ÓÊù¯¥\9f\98×ÊÀ¶¬¥\9f\95ÓƼ³¬¥\9f\95Ðƹ¯©\9f\9c\92Íù¬¥\9f\95\8eÊÀ³©¢\9c\92\8bƼ¯¥\9f\95\92\88ù¯¥\9c\92\8e\85À¹¬\9f\9c\92\8b\85¼³©\9f\95\8e\88\81¼³¥\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¹¬¢\98\92\88\81{¶¬\9f\98\8e\88\81{³©\9f\98\8e\85\81w³©\9f\98\8b\85\81{³©\9f\95\8b\85~w³¥\9f\92\88\85~t¯¥\9c\92\88\85{t\95\88~wmjd]VPF?96//("\e\15\11\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,%\1f\15\11\ e\a\ 4C96/(%\1f\eSPF?922,`ZPMC?96j`ZSMF?9jdZSPIC?mg]VPMF?qg]ZSMFCqj`ZSPICtmd]VPMFwmg]ZSPF{qj`]SPM~tjg]ZSP\81wmg`ZSP\85{mjd]ZS\85~tjg`ZS\88~wjg`]V\88\81wmjd]V\8b\81wqjd]Z\8e\85{qjg`Z\8e\85{tmg`]\92\85~tmjd]\92\88~wqjg]\92\88~wqjg`\92\8b\81{qjg`\95\8b\85wqjg`\95\8e\85{qmj`ÓÊÀ¶¬¥\9f\98ÿÿÿÿÿÿÿøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûôëá×ÓûñáÚÐƼ¹çÝÐƼ¹¬¥ÚÐù³¬¢\9fÓʼ¶¬¥\9f\95Ðƹ³©¥\9f\95Óƹ³©¢\9c\92Óƹ³©¢\9c\95Ðƹ³©¢\9c\92Íƹ¯¥\9f\98\92Êö¬¢\9f\95\8eÆÀ³¬\9f\9c\92\8bƹ¯©\9f\9c\8e\88ù¬¢\9c\92\8e\88À¹¬¢\98\92\8b\85¼³©\9f\92\8e\88\81¹³¥\9f\92\8e\85\81¹¯¢\9f\95\8b\85\81¹¬¢\9c\92\88\85~¶¬¢\9c\8e\8b\85{³¬\9f\95\8e\88\85{³©\9f\95\8b\85~{³©\9f\92\8b\85~w¯©\9f\92\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{t¯¥\9c\8e\88\81{t¬¥\98\8e\85\85wt¬¢\95\8e\85\81wt¬¢\98\8e\85\81wq¬¢\95\8e\85~wq©¢\92\8e\85~wq©¢\95\8b\85~wq©\9f\95\8b\85~tq©\9f\95\8b\81~tm¥\9f\92\8b\81~wm©\9f\95\8b\81{tm©\9f\92\8b\81{wm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88~{qm¥\9f\92\88\81{qm¥\9f\8e\88\81{qm¥\9f\92\88~{qm¥\9f\92\88\81{qm¥\9f\92\88~{tj¢\9c\92\85~{qm¢\9f\92\88~wqm¥\9c\8e\88~wqm¥\9f\92\88~{tm¥\9c\8e\88~{qm¢\9f\92\85~{qm¢\9c\8e\88~{qm¢\98\92\88~{qm¢\9c\8e\85~{qj¢\9c\8e\85~{qj¢\9c\92\85~wqm¢\9c\8e\85~wqj\85\81tmg`ZSPF?96/,(\1f\e\15\ e\v\ 4\ 1\0\ 1\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\15\ e\a\ 4\ 1\0<6/("\1f\18\15PIC96/,(`ZPIC<62j`ZPMC?9mg]SPFC<mg`SPIC?mg`SPIF?qj`ZSMF?qj`]SPFCtmg]ZPIF{mj`]SPI~tjd]ZPM\81wmg`ZSP\85wmg`]VP\85~tjd]ZS\85\81wmj`ZV\88~wmj`]V\8b\81wqjd]Z\8e\85{qjd]Z\8e\85{tmg`]\8e\85~tmj`]\92\88\81wqjd]\92\88\85wqjg`\92\88\81wqjg`\95\8b\85{tmg`\95\8e\85{qmj`Óʼ¶¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçáÓÐøîá×ÍƼ¹äÚÐƼ¶¬¥ÚÐƹ³¬¢\9fÓʼ¶¬¥\9f\95Óƹ³¬¢\9c\95Óƹ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Íù¯¥\9f\98\92Êù¯¢\9f\95\8eʼ³¬\9f\9c\92\8bü³©\9f\98\8e\88ù¬¥\9c\92\8b\88À¶¬¢\98\92\88\85¼¶©\9f\95\8e\88\85¹³¥\9f\92\8e\85~¹¯¢\9f\92\8b\85~¹¯¢\9c\92\88\85~¶¬\9f\98\8e\88\81{¶¬\9f\98\8e\88\81{¶¬\9f\95\8b\85\81{³©\9f\95\8b\85~w³©\9c\92\8b\85~w¯¥\9c\92\8b\85{w¯¥\9c\92\88\81{w¯¥\9c\92\85\85wt\92\88{tmj`ZSPF?92/,(\1f\e\11\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0,"\1f\18\11\v\v\ 4?92,(%\1f\eSMC<62/,`ZPIC<96j`ZPIC?9jdZSPFC<md]SPFF?mg]ZPMF?qj`ZSMICtmd]SPMFwmg]ZSPF{qj`ZSPI~tjd]ZSM\81wjg`ZSP\85{qj`]VP\85~tjd]ZS\88~tmg`]V\8b\81wmj`]V\8b\81wqjd]Z\8b\85wqjg`Z\8e\85{tjg`Z\8e\88{tmjd]\92\88{tmjd]\92\88\81wmjg]\95\8b\81wqjg`\95\8b\81{tjg`\95\8b\81{tmg`Óʼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôëá×Óûñá×ÐƼ¹çÝÐƼ¶¬¥ÚÐù¯¬¢\9fÓʼ¶¬¥\9f\98Óƹ³©¢\9f\95Óƹ³©¢\9c\95Ðƹ¯©¢\9c\92Íƹ³¥¢\9c\92Êù¯¥\9f\95\92Êö¬¢\9f\95\8eÆÀ³¬¢\9c\92\8bƹ¯¥\9f\98\92\88À¹¬¢\9c\95\8b\85¼¶©¢\98\92\88\85¼³©\9f\95\8e\88\85¹³¥\9f\92\8e\85~¹¯¢\9f\92\8b\85~¹¬¢\9c\8e\8b\81~¶¬\9f\9c\8e\88\81{³¬\9f\98\8b\85\81{³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯©\9f\92\88\85{w¯¥\9c\92\88\85{w¯¥\9c\92\85\85{w¬¥\9c\92\85\85{t\8e\88~wmj`]SPF?66/,(\1f\18\11\ e\v\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\1f\15\ e\v\a\ 4?6/,("\1f\18SMC<62/,]VPI?<66g]VPIC<9j`ZSPFC<md]SPIC?mg]SPIF?mj`ZSPI?tj`ZSPIFwmg]ZPPF{qj`ZSPI{tj`]VPM\81wmg`ZSP\85wqj`]VS\85{qjd`ZS\88~tjg`]S\88\81wqj`]V\88\81{qjg`Z\8b\85{qjg`]\8e\85{tmg`]\8e\85~tmj`]\8e\88~wmjd]\92\88\81wmjg`\92\8b\81wmjg]\92\88\85{qmg`\95\8e\85{tmg`\95\8b\85{tmjd\98\8e\85~tmj`\9c\8e\85~tmj`\9c\8e\85~tqjd\9c\92\85~wqjg\9c\92\88~wqjg\9f\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81{tmg\9f\92\88\85wtmg\9f\92\8b\81wtmj\9f\92\8b\85wtmj\9f\92\88\85{tmj\9f\95\8b\81{tmj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85~wqj\9f\98\8e\85{wqj¢\98\8e\85{wqj¢\95\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8e\85~wqj\9f\98\8e\85~wqj¢\95\8e\85~wqj\9f\98\92\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqm\9f\98\8e\85~wtjÝÓƼ¶¬©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäÝÓþñçÝÓÍüîáÓÊÀ¹³¬áÓÆÀ¶¯¥¢×Ðù¯¬¢\9cÓÍÀ¹¬©\9f\98ÓÊÀ¹¯¥\9f\98×ʼ¶¬¥\9f\98Óʼ¶¬¥\9f\95ÐƼ³©¢\9c\95Ðƹ¯¥\9f\98\92ÊÀ¶¬¢\9f\92\8eƼ³¬\9f\9c\92\8bƹ¯¥\9f\95\8e\88À¹¬¢\9c\92\8b\88À¶©¢\95\92\88\85¼³©\9f\92\8e\85\85¹³¥\9f\92\8e\85\81¹¯¥\9c\92\8b\85~¹¬¢\9c\8e\8b\85~¶¬\9f\95\8e\88\81{¶©\9f\98\8e\88~{³¬\9f\98\8b\85{{¯©\9f\95\88\85~w¯©\9f\92\88\85{w¯¥\9c\92\88\85{t¬¥\9c\92\88\81{t¬¢\98\8e\88\85{t¬¢\9c\8e\85\81wt¬¢\98\8e\85~{q¬¢\98\8e\85\81wt¬¢\95\8e\85~wq©\9f\95\8b\85~wq¬\9f\92\8b\85~tq©\9f\92\8b\85~tq¥\9f\95\8b\85~tq©\9f\92\8b\81~tq¥\9f\92\8b\85~tq¥\9f\92\88\81{wq¥\9f\92\88\81{tm¥\9f\92\88\81{tq¥\9f\92\8b\81{tm¥\9f\8e\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88~{qm¥\9f\8e\88~{qm¢\9c\92\88~wtj¥\9f\8e\88\81{qm¥\9f\8e\88~{qj¢\9c\8e\88~wqm¥\9f\8e\88~{qj¥\9c\8e\85~wqj¢\9c\92\85~wqm¥\9c\8e\88~{qj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85{wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj\85~tmd`ZSPF<62/,%"\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\11\ e\a\ 4\ 1\096,("\1f\e\15PIC96/,(`VPIC<96j`VPIC?9jdZSPFC<mg]SPIF?mj]VPMF?qj]ZPMICtj`]VPMCwmg]VPPIwqj`ZSPI{tjd]VPM\81wmj`]VP\85{qjd]ZP\85{tjg`ZS\88~tjg`]V\88\81wmjd]V\8b\85wqjd]V\8b\85{qjg`Z\8e\85{tmg`]\8e\85~tmjd]\8e\88\81tmjd]\92\88\81wmjg]\92\88\81wqjg`\92\8b\81wtjg`\95\8b\85wqjj`\92\8b\81{tmj`\98\8e\85{tmjd\98\8e\85~tqjd\98\8e\85~tqjd\98\8e\85~wmjd\9c\8e\85~wqjg\98\92\85~wqjg\9c\92\88\81{qmg\9f\92\88\81wtmg\9f\92\88\81{qmg\9f\92\88\81{qmg\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\92\88\85{tmg\9f\92\8b\85{tqj\9f\95\8b\81{tmj\9f\95\8b\85~tqj\9f\92\8b\85{wqj\9f\95\8b\85{wqj\9f\95\8b\85{tqj\9f\98\8b\85{wqj\9f\98\8e\85{tqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8e\85~wqj\9f\95\8b\85~wqj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\95\8b\85~wqj\9f\98\8e\85~wqm\9f\98\8e\85~wqjÝÓÊÀ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûîçÝ×þôëÝÓÐÆÀîáÓÊù³¬ÝÓÊÀ¶¯©¢ÚÐù¯©¢\9cÓÍÀ¹¬©\9f\98ÓÊÀ¶¬¥\9f\98Óʼ¹¬¥\9f\95ÓƼ¶¬¥\9f\98Ðƹ³©¢\9c\95Íƹ¯¥\9f\95\92Êö¬¥\9f\95\8eƼ³¬¢\9c\92\8bƼ¯¥\9f\98\8e\88ù¬¢\9c\95\8e\85¼¶©¢\98\8e\8b\85¼³©\9f\98\8e\88\81¹³¥\9f\92\8e\85\81¹¯¥\9c\92\8b\85~¹¯¢\98\8e\8b\85~¹¬\9f\98\8e\88\81w³¬\9f\95\8e\85~{³©\9f\95\8b\88~w³©\9f\92\88\85~w¯©\9f\92\88\85{w¬¥\9c\92\88\85{w¬¥\9c\92\88\85{w\92\88~wmj`]VPFC96//,"\1f\18\11\ e\v\ 4\v\ 4\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,%\1f\18\11\11\v\ 4C92/,"\1f\eSMC<62/,`VPIC<96j`VPIC<9jdZSPI?<mg]SPIC?mg]VPIF?qj`ZSMFCqj`ZSPICwmg]ZSMFwqj`ZSPI{tj`]VPP~wmg`ZSP\85wqg`]VP\85{tjd]ZS\85~tjg`ZV\88~wmg`]S\88\81wmj`]V\8b\85{qjg`Z\8e\85{qmg`Z\8e\85~tmj`]\92\85~tmj`]\92\88~tmjg]\95\8b\81wqjd`\92\8b\81wqmg`\95\8b\85{qmj`ÓÊÀ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçá×Ðøîá×ÍÆÀ¹äÚÍƹ¶¬¥×Ðù¯©\9f\9cÓƼ¶©¥\9c\95Ðƹ³¥¢\98\92Íƹ¯¥¢\98\92Ðƹ³©\9f\98\92Íù¯¥\9f\98\92Íù¬¥\9f\95\92Êö¬¢\9f\92\8eƼ³©\9f\9c\92\88ù¯©\9f\95\8e\88ù¬¥\9c\92\8b\85À¶©¢\95\92\85\85¹³©\9f\92\8e\85\81¹¯¢\9f\95\8b\85~¹¯¢\9c\92\8b\85~¹¬\9f\9c\92\88\85{¶¬\9f\95\8e\88\81{¶¬\9f\95\8b\85~w³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯¥\9f\92\88\85{w¯¥\9c\92\88\85{t¯¢\98\92\88\81{t¯¥\95\92\85\81{t\92\85{tmj`]SMC?66/,%\1f\18\11\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\ e\v\ 4\0\0\0\0\0("\e\15\ e\v\ 4\ 4?92,("\1f\eSMC<62/,`ZPIC<66j]SPFC?9jdZSMFC<mg]SPIC?mg]VPMF?mj]ZPMFCqj`ZSPICwmg]ZPMF{qj`ZSPM{tjd]ZSP~wmj`]SP\85{mj`]ZS\85{tjd`ZS\85~tmg`]S\88\81wmj`]Z\88\85wqjd]Z\88\85{qjg`Z\8e\85{tjg`Z\8e\85{tjg`]\92\88~wmj`]\92\88~wmjd]\92\88~wqjg]\92\88~wqjg]\92\8b\81wqmj`ÓƼ¶¬¥\9f\9cÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñëÿÿþñçÝ×Ðûîá×ÐƼ¹çÚÐƼ¶¯¥ÚÍù³©¢\9cÓʼ³¬¥\9f\95Óƹ³©\9f\9c\92Ðƹ³©\9f\9c\92Ðƹ³©\9f\9c\92Ðƹ¯©\9f\9c\92Ðù¯¥\9f\98\8eÊÀ¶¬¢\9c\95\8bƹ³©\9f\9c\92\8bƹ¯¥\9c\95\8e\88ù¬¢\9c\92\8b\85¼³¬¢\98\92\88\85¼³¥\9f\98\8e\88\81¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\88\85~¶¬¢\98\92\88\85{¶¬\9f\98\92\88\81{¶©\9f\95\8b\85\81{³©\9f\92\8b\85~{¯©\9f\95\88\85~w¯¥\9c\92\88\85~t¯¢\9c\92\88\85{t¬¢\9c\8e\88\81{t¬¢\95\8e\88\81{t¬¢\9c\8e\85\81{q¬\9f\95\8e\85~wq¬¢\95\8b\85~wq¬\9f\92\8b\85~wq©\9f\95\88\85~tm¬\9f\95\88\85~wq©\9f\95\88\85{tm©\9f\92\88\85{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tj¥\9f\92\85\85wtm¥\9f\92\85~wtm¥\9c\92\85\81{tj¥\9c\92\85~wtm¢\9c\92\85~wtj¢\9c\8e\85~wqj¥\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wmj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\85~tjg]ZVPF?92/,("\e\18\ e\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\a\ 4\ 1\0\0\0\0\0"\e\11\ e\v\ 4\ 4\ 1<2/(%\1f\e\15PI?96//(`ZPF?<96g]VPIC?9jd]SPIC<mg]SPIC?mg]VPIF?mj`ZSMF?qj`ZPPFCwjd]VPMFwmj`ZSPF{tj`]VPM~wmg]ZSP\85wmj`]VP\85{qjd]VS\85~tmg`ZS\88~wmg`]V\88\81wmj`]V\8b\85{qjd]Z\8b\85{tjg`Z\8e\85~tmg`]\92\85~tmj`]\92\88\81wqj`]\92\88\81wqjg]\92\88\85wqjj`\95\88\81wqjg`ÓƼ³¬¢\9f\9fÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþøñçÿÿûñçÝÓÐøîá×ÍƼ¶ä×Ðù¶¬¥ÚÍù¯©¢\98ÓƼ³¬¢\9f\95Ðƹ³©¢\9c\92Ðƹ¯©\9f\9c\92Ðù¯©\9f\9c\92Íù¯©\9f\98\92Íù¬¥\9f\95\8eÊÀ¶¬¢\9f\95\8eƼ³©\9f\98\92\88ƹ¯¥\9f\95\8e\85ö¬¢\9c\92\8b\85À¶¬\9f\98\8e\8b\85¼³©\9f\95\8e\85\81¹³¥\9f\92\8b\85~¹¬¥\9c\92\8b\85{¹¬¢\98\8e\88\85{¶¬\9f\95\8e\88\81{³©\9f\98\8e\85\81w³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\81{t¬¥\98\92\88\81{t¬¢\95\8e\85\81wt\8e\85{qjj`ZSMF<66/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4?6/,%"\1f\eSMC<62/,]VPI?<62g]VPFC<9j`ZSMF?<md]SPIC<mg]SPIC?mj]VPMFCqj`]SPICtmg]VPMIwqj`ZVPM~tjd]ZSP~wmg`ZSP\81wmj`]VP\85{qjd`ZS\85~tmg`]V\88~wmj`]V\88\81wqjd]V\8b\85wqjd]Z\8b\85{tjg]Z\8e\85{tmj`]\8e\85~tmjd]\92\88~wmjd]\95\88\81wqjg]\92\88\81wqjg]\92\8b\85{qjj`ÓƼ¶¬¢\9f¬ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûîçÿÿûñçáÓÐøîá×ÍƼ¹äÚÍƹ¶¬¥×Ðù¯©¢\9cÓƼ³¬¢\9c\92ÐƼ³©¢\9c\92Ðƹ¯©\9f\9c\92Ðƹ³©¢\9c\92Ðƹ¯¥\9f\95\92Íù¯¥\9f\95\8eÊÀ³¬¢\9f\95\8bƼ³©¢\9c\92\88ù¯¥\9f\9c\8e\88À¹¬¢\9c\92\8b\85¼¶©\9f\95\92\88\85¹³¥\9f\92\8e\88\81¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¶¬¢\9c\8e\88\85{³¬\9f\95\8e\88\81{³©\9f\95\8b\85~w³©\9f\92\8b\85\81w¯©\9f\92\88\85~w¯¥\9c\92\88\85~w¬¥\9c\92\85\81{t¬¥\98\8e\85\81wt¬¢\98\92\85\81{t\8e\85~tjj`]SMC<66/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\e\15\ e\v\ 4\ 4<6/,%"\1f\18PIC962/,]VPF?<62g]VPIC<6j`ZSIFC<jdZSMFC<mg]SPIF?mg]ZPIFCqj`ZSMICwmd]SPMFwmj]]SPF{tj`]VPM~tjg`ZSP\81wqj`ZVP\85{qjd]VS\85~tjd`]S\88~tmj`]V\88\81wmjd]V\8b\85{qjd]Z\8b\85{tjg`Z\8e\85{tmg`]\8e\85~tmjd]\92\88\81tqjg]\92\88~wmjd]\95\8b\81wqjg`\92\8b\81{qmj`\98\8b\81{tmgd\95\8e\85~tmjd\98\8b\85~tmjd\98\8e\85{tmjg\98\8e\85{wqjd\98\8e\88~tqjd\9c\8e\85~wqjg\9c\92\88~wqjg\9f\92\88~wqmg\9f\92\88~wtmg\9f\95\88\81wtmg\9f\92\88\81{qmj\9f\92\8b\85{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\92\88\81{tmg\9f\92\88\81{tqg\9f\95\88\85{tmj\9f\92\8b\85{tqj\9f\95\8b\85{tmj\9f\95\88\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{wqj¢\95\8b\85~wmj\9f\95\8b\85{wqj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85~wqj\9f\95\8e\85~wqj\9f\95\8e\85~wqmÝÓƼ¶¬¥ÀÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäÝÓûñçÝÓÍùîáÓÊÀ¹³¬ÝÓƼ¶¯¥\9f×Êù¯©¢\9cÓƼ¶¬¢\9f\98Óʼ¶¬¥\9f\95ÓƼ³¬¢\9f\95ÓƼ³©¢\9f\92Ðƹ¯©¢\9c\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9c\92\8bƼ³©\9f\9c\92\88ù¯¥\9f\95\8e\85À¶¬¢\9c\92\8b\85¼³©\9f\9c\8e\88\85¼¯©\9f\95\8e\85\81¹¯¥\9c\95\8b\85~¹¯¢\9c\92\8b\85{¶¬¢\98\8e\88\85{¶¬\9f\98\92\88\81{³©\9f\95\8e\85~{³¥\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\98\92\88\85~w¬¥\9c\92\88\81{t¯¢\98\92\88\81{t¬¢\95\8e\85\81{t¬¢\95\8b\85~wq¬¢\95\8e\85~wq©\9f\95\8e\85~wq©\9f\95\8b\85{wm©\9f\92\8b\85~tq©\9f\92\88\81{tm©\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\8e\85~wqj¥\9c\92\85\81{tm¥\98\8e\85\81wtj¢\9c\8e\88\81{qm¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wtm¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85{wqj¢\98\8e\85~wqj\85~tjg]ZSMC<62/,%\1f\e\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\092,("\1f\18\11PI?96/,%]SPFC<62g]SPFC<9j`ZSMFC<mg]SPIC<mg]VPMF?qj]VPMF?qj`ZSPICtjd]VPMFwqg`ZSPI{tj`]VPM~tmg`ZSP\85{mj`ZVP\85{tjd]ZP\85{tmg`ZV\88\81wmg`]V\88\81wmj`]Z\8b\81wqjg`Z\8b\85{qjg`Z\8e\85{tmj`]\8e\85~tmjd]\8e\85~wmjd]\92\88~tqjd]\92\88\81{qjg]\92\8b\85wqjg`\92\8b\81{tmg`\95\8b\85{tmg`\98\8b\85{tmj`\98\8e\85{tqj`\95\8e\85{tmjd\98\8e\85~tqjd\98\8e\85~wqjg\9c\92\85~wqjg\9c\92\88~wqmg\9c\92\88\81wqmg\9f\92\88\81wtjg\9f\92\88\81wqmj\9f\92\88\81wtmj\9c\92\88\81wtmj\9f\92\88\85{tmg\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\88\85{tmj\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{tqj\9f\98\8b\85~wqj\9f\98\8b\85~tqj\9f\95\8b\85{wmj\9f\95\8b\85~wqj\9f\95\8e\85~wqj\9f\95\8e\85~wqwÝÐƹ³¬¥ÓÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿÿøîçÝÓûôçÝÓÊƼëáÓÊÀ¹³¬ÝÓÆÀ¶¯¥\9fÚÍÀ¹¯©\9f\9cÓÊÀ¹¯¥\9f\9cÓʼ¶¬¥\9f\95ÓƼ¶¬¥\9f\95ÓƼ¶¬¢\9f\92Ðƹ³©¢\98\92Íù¯¥\9f\98\8eʼ¶¬¢\9f\92\8bƼ¯¥\9f\98\92\88ù¯¥\9f\92\8e\85À¶¬¢\9c\92\8b\85¼³©\9f\95\92\88\85¼³¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85~¹¬¢\9c\92\8b\81~¶¬\9f\98\8e\88\81{¶¬\9f\95\8e\88\81{³©\9f\95\8b\85\81w³©\9f\92\8b\85~w³¥\9f\92\88\85{w¯¥\9c\92\88\85~w¯¥\9c\8e\88\81{t¬¢\98\8e\88\81wt¬¢\98\8e\85\81{t¬\9f\95\8b\85~wq©\9f\95\8e\85\81wq©\9f\95\8b\85~wq©\9f\92\8b\85~wq©\9f\92\8b\85~tq¥\9f\92\8b\85~wq¥\9f\92\88\85{wm¥\9f\92\88\85{tq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\85~wtj¥\9c\92\85\81{qm¥\9c\92\85\81wtj¢\9c\8e\85~wqj¥\9c\92\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~tqj¢\9c\8e\85{wqj¢\98\8e\85~wmj¢\9c\8e\85~wmj¢\98\8e\85~wqj¢\98\8e\85~wmj\85{tjd]ZSPC<6//,%\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\092/("\1f\e\15PI?96/,(`VPI?<62j`VPIF?<j`ZSPFC<mg]SPIF?mg]VPMC?mj]ZPPFCtj`ZSPICtmd]VPMFwmj`ZSPI{tjd]ZSM~wmg]ZSP\85wqg`]VP\85{qjg]ZS\85~tmg`ZS\85~tmg`]V\88\81wqj`]Z\8b\85wqjd]Z\8b\85{qjg]Z\8b\85{tjg`]\8e\85{tmg`]\92\85~wmj`]\92\88~wmjd]\92\88\81{qmg`\92\88\81wqmg`\92\8b\85wtjj`\95\8b\85wtmg`\98\8e\85~tmjd\95\8e\85{tmjd\98\8e\85~tqjd\98\8e\85{tmjg\98\8e\85~wqjg\98\92\85~wmjg\9c\92\85~wqjg\98\92\88\81{qjg\9f\92\88\81wqmg\9c\92\88\81wqjj\9f\92\88\81wtmj\9f\95\88\81{tmg\9f\92\88\81{tmj\9f\92\8b\81{tmj\9f\92\8b\85{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\95\8b\81~tmj\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85~tqj\9f\95\8b\85{wqj\9f\95\8b\85{tqm\9f\95\8b\81{tqj\9f\95\8b\85{wqj\9f\98\8b\85{wqj\9f\95\8b\85~wqj\9f\95\8e\85~wqj¢\95\8e\85~wq\88ÝÓƼ³¯¥çÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøñÿÿÿøîçÝÓþôçÝÓÊüëáÓÊÀ¹¯¬ÝÓÆÀ¶¯¥¢×ÍÀ¹¯©\9f\98Óʼ¶¬¥\9f\98Óʼ¶¬¥\9f\95Óʼ³¬¢\9f\95ÓƼ³¬¢\9f\95Ðƹ¯©¢\9c\92Íù¯¥\9f\98\8eʼ¶¬¢\9f\92\8eƼ¯©\9f\98\8e\8bù¬¥\9c\98\8b\88¼¶¬¢\9c\92\8b\85¼³©\9f\95\8e\88\81¹¯¥\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¬¢\98\8e\8b\85~¶©¢\98\8e\88\81{³¬\9f\98\8e\88\85{³©\9f\95\8e\85~w³©\9f\92\8b\85\81w¯¥\9c\92\88\85~w¬¥\9c\92\88\85{t¬¥\95\8e\88\85{t¬¢\95\8e\88\81{t\92\85{tmj`]VPF?96//("\e\15\ e\ e\ e\ 4\a\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0("\e\15\11\v\ 4\ 4<62,("\1f\1fSMC962/,]VPF?<66g]VPFC<9j`ZPMF?<jd]SPIC<jg]SPIC?mj]VPMF?qj`ZSPICtjd]VPMFwmg]ZSPI{qj`]VPM~tmg]ZSP\81wmg`ZSP\85{qjd]VP\85{qjg`ZS\85~tmg`]Z\88\81wmjd]V\88\81wqjd]Z\8b\85{qjg]]\8e\85{tjg`]\8e\85{tjj`]\92\85~tmjd]\92\88\81wqjg]\92\88\81wqjg`\92\88\81wqjg\85ÓƼ³¬¥\9fçÿÿÿÿÿÿûþÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûîäÝÓÍôîáÓÍƼ¶á×Êù³¬¢ÓÊÀ¹¯©\9f\9cÐƹ³©¢\98\95Íƹ¯¥¢\98\92Ðƹ¯¥\9f\98\92Íù¯¥\9f\98\92Íù¯¥\9f\95\8eÊÀ¹¬¥\9f\95\8eƼ¶©¢\9c\92\8bƹ¯©\9f\9c\8e\88ù¬¥\9f\95\8b\88À¹¬¢\9c\92\8b\85¼³©\9f\95\8e\88\81¹¯¥\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¶¬¢\98\92\8b\85~¶©\9f\98\8e\88\85{³©\9f\95\8b\85~{³©\9f\92\8b\85~w¯©\9f\92\88\85{w¯¥\9c\92\88\85{w¯¥\9c\92\88\85{t¬¥\95\8e\85\81{t¬¢\98\8e\85\81wt¬¢\98\8e\85\81wt\8e\85{tjg`]SMC<62/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\18\15\ e\v\a\a<6/,%"\1f\1fSMC962//]VPF?<96g]VPFC<<j`ZPIFC<jd]SPFC?mg]SPIFCmg]VPMFCqjdZSPFCtmg]VPMIwqj`ZSPI{tjd]VPP~wmd]ZSP\81wmj`ZVP\85{qj`]ZS\85~tjg]ZV\85~tmg`]V\88~tmjd]Z\88\81wqjd]Z\8b\85wqjg`]\8b\85{tmj`]\8e\85~tmj`]\8e\85~tmjd`\92\85~wmjd`\92\88~wmjg`\92\88\81wqjg\92Óƹ³¬¥\9fñÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûîçÝÓÐøîáÓÊƼ¶äÚÍƹ¶¬¢×Íù¯©¢\9cÓƹ¶©¢\9c\92Ðƹ¯¥¢\98\92Íƹ¯¥¢\95\92Íù¯©¢\95\92Íù¯¥\9f\98\8eÊö¬¢\9f\98\8eƼ³¬\9f\9c\92\8eƼ³©\9f\98\8e\88ù¬¥\9f\95\8e\88À¶©\9f\98\92\88\85¹³©\9f\95\92\88\85¹¯¥\9f\92\8e\85~¹¯¢\9c\92\8b\85~¶¬¢\95\92\8b\85~¶¬\9f\95\8e\88\81{³©\9f\95\8e\85\81{³©\9f\95\8b\85~w¯¥\9f\92\8b\85\81w¯¢\9f\92\88\85~w¯¢\9c\92\88\85{t¯¥\9c\92\88\85{t¬¢\95\92\85\81{t¬¢\95\8e\85\81wq\8e\85{tjj`]SMC<62/,%\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\0(\1f\18\11\ e\v\ 4\ e<6/(%"\1f"SI?962//]VPF?<66g]SPIC<9j`ZPMC?<j`ZSPFC?mg]SPICCmg]SPMFCqj`ZSMFFtj`]SPMIwqg]ZPPI{tj`]SPP~tmd]ZSP\81wmg`ZSS\85{qj`]VV\85{tjd`ZZ\85~tmg`]Z\88\81wqjd]Z\88\81{qjd]]\8b\85wqjg]]\8b\85{qjg``\8e\85{tmjd`\8e\85~tmjd`\92\88~wmjgd\92\88~wqjgd\95\88\81wqjg\98Ðƹ³¬¢\9cøÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçÝÓÐøîáÓÊƼ¶äÚÍù³¬¥×ÍÀ¹¯©\9f\9cÓƹ³©¢\9c\95Ðƹ³¥¢\98\92Íù¯¥\9f\98\92Íƹ¯©\9f\98\92Íù¬¥\9f\95\92Êù¬¥\9f\95\8eƼ³¬\9f\98\92\8bƹ¯¥\9f\98\8e\88ù¯¢\9c\95\8e\85¼¹¬¢\98\92\88\85¹³¥\9f\95\8e\88\85¹¯¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¹¬¢\98\92\88\85{¶¬\9f\98\8e\88\85{³©\9f\95\8e\85\81{³©\9f\92\8b\85~w³¥\9f\92\8b\85~w¯¥\9c\92\88\85{w¬¥\9c\92\88\85{t¬¢\98\8e\85\81wt¬¢\95\8e\85\81{t¬¢\98\8e\85\81wq©\9f\95\8b\85~wq©\9f\92\8b\85~wq¥\9f\95\8b\85~tq©\9f\92\88\85{wq©\9f\92\88\85~wq¥\9f\92\8b\85{tm¥\9f\92\88\81{tm¢\9c\92\88\81{tm¥\9f\8e\88\81{tm¥\9c\8e\88\81{tm¢\9c\8e\85~wqm¢\9c\8e\85~{qj¢\9c\8e\85\81wqm¢\9c\8e\85~wqj¢\98\8e\85~wqj¥\9c\8e\85~wqj¢\98\92\85{wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85~wqj\9f\98\8e\85{wqj¢\98\8e\85{wmj\9f\98\8e\85~wmj\9f\95\8b\85~wqj¢\98\8b\85~wmj\9f\98\8b\85~wqj¢\95\8b\85{tmj\9f\98\8b\85{tmj\9f\98\8b\85{wmj\9f\98\8b\85{wmj\9f\98\8b\85{wmj\9f\98\8b\85{tmj\85{qjd]VSIC<6//(%\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\a\ 4\ 1\1192/%\1f\1f\18%PF?96/,2]VPF?<69g]VPFC??j`ZSPFCCjd]SPICCmd]SPMCCmg]VPMFFqj`ZSPIItjd]VPMPwmg`ZSPP{qjd]VPS~tjd]ZSV\81wmj`ZSV\81{mjd]VZ\85{tjg]Z]\85~tmg`Z]\85~wmg`]]\88\81wmjd]`\8b\85{qjd]d\8b\85{qjg]d\8e\85{tjj`g\8e\85{tmjdg\92\85~tqjdj\92\88~wqjdj\92\88\81wqjdj\92\88\81wqjgj\95\8b\85{tmjj\95\8b\85{qmgj\95\8b\85{tmjj\95\8b\85{tmjm\98\8e\85~tmjm\9c\8e\85~tmjm\98\8e\85~wqjm\98\8e\85~wqjm\9c\8e\85~wqjq\9c\92\85~wqmm\9c\92\88~wtmq\9c\92\88\81wtjq\9c\92\88\81wtmq\9c\92\88\81{tjq\9c\92\88\81{tmt\9f\92\88\81{tmt\9f\92\88\81wtmt\9f\95\88\85{tmt\9f\92\88\81{tqw\9f\92\8b\81{tmt\9f\92\88\85{tmt\9f\95\8b\85{wmt\9f\92\8b\81{tmt\9f\92\88\85{tqt\9f\95\8b\85{wmw\9f\92\8b\85{tqw\9f\92\8b\85{wmw\9f\95\8b\85{wmw\9f\95\88\85{tqw\9f\95\8b\85{wmw\9f\95\8b\81{tq¹ÚÓƼ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþøîçÝÓþñçÚÓÊüîÝÓÆÀ¹¯¬ÝÓƼ¶¬¥¢×ÊÀ¹¯©\9f\9fÓƼ¶¬¥\9f\9cÓƼ¶¬¢\9f\98ÓƼ³¬¢\9f\98Óƹ³©¢\9f\95Ðƹ¯©\9f\9c\92Êù¬¥\9f\98\92ÆÀ³©¢\9c\92\8eƹ¯¥\9f\98\8e\8bù¬¥\9f\92\8e\88¼¶©\9f\98\92\8b\85¼¯¥\9f\95\8e\88\85¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¹¬\9f\95\8e\8b\85~¶¬\9f\98\8e\88\85~³©\9f\95\8e\88\81{³¥\9f\95\8b\85~{³¥\9f\92\88\85~{¯¢\9c\92\88\85{w¯¥\9c\92\88\81{w¬¢\95\8e\85\81{t¬\9f\95\8e\85\81{t©\9f\98\8b\85\81wt©\9f\95\8b\85~wt©\9f\92\8b\85~tt©\9f\95\8b\85~tq©\9f\92\88\81{tq©\9c\92\88\85{tq¥\9f\92\88\85{tq¥\9f\92\88\81{tq©\9c\92\88\81{tm¥\9c\8e\88\81{tq¥\9f\92\88\81wtm¥\9c\8e\88~{tm¥\9c\8e\85~wtm¢\9c\8e\85\81wqm¢\98\8e\85\81wqm¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85{wqj¢\98\8e\85{wqm¢\98\8e\85~wqm\9f\98\8b\85{wmj¢\98\8e\85~wmj¢\95\8b\85~tqm\9f\98\8b\85{wmm\9f\98\8e\85~wqj\9f\98\8b\85~wqj\9f\95\8b\85{tqj\9f\95\8b\85{wmj\85{tjd]ZSPC<62/,%"\18\15\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\ 1"\e\15\ e\v\a\ 4\1f92/("\1f\1f2PF?96//<]VPI??9Fj]VPIC?Fj`ZSMFCIjd]SPFCMmg]SPICPmg]SPICPqj`ZSPFStj`]SPIVtmg]ZSPZ{qj`]SP]~tjd]ZS`\81wmg`ZVd\81wqj`]Vd\85{qjg]Zg\88~tjg`Zj\88\81wmj`]j\88\81wmj`]j\8b\85wqjg`m\8b\85{tjg`m\8e\85{tjg`q\8e\85{tmj`q\92\85~tmjdq\92\88~wqjdq\92\88~wqjd¹ÐƼ¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþþÿÿÿÿþøîçÿÿøîçÝÓÍôëÝÓÊƹ¹á×Íù³©©×ÊÀ¹¯©\9f\9fÐƹ¯©¢\98\9cÍù¯¥¢\95\98Íù¯¥\9f\98\9cÊù¯¥\9f\98\9cÊÀ¶¯¥\9f\98\95ÊÀ¶¬¢\9f\95\95Ƽ³©\9f\9c\92\92ƹ¯©\9f\95\8e\8eÀ¹¬¢\9c\95\8b\8b¼¶©\9f\9c\92\88\88¼³©\9f\98\8e\88\88¹¯¢\9c\92\8e\85\85¹¯¥\9c\92\8b\85\85¶¬\9f\95\8e\88\85\85¶¬\9f\98\8e\88\81\81³©\9f\95\8e\85\81\81³©\9f\92\8b\85~~¯¥\9c\92\88\85~{¯¥\9c\92\88\85{{¬¥\98\8e\88\85{{¬¢\98\8e\88\81{{¬¢\95\8e\85\81ww¬\9f\95\8b\85\81wt\8b\85{qjg]ZSIC<62/,"\1f\15\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\ e(\1f\18\11\ e\v\ 4,<6/,%"\1f9PI?962,C]SMF?<6Ig]SPFC<Mg`ZPMF?Pj`ZSMFCPjdZSPICPmg]VPIFSqj`ZSPIZtjd]SPI]wmg]VSP`wqj`]VPd{tjg]ZSg\81wmj`]Sj\81wqjd]Vj\85{qjd]]m\85~tmj`]m\88~tmj`]q\88\81wqjd]t\88\81wqjg]t\8b\85{tjg`t\8e\85{qmjdw\8e\85~tmj`w\8e\85~tmjd{\8e\85~wmjd{\92\88~wqjg{\92\88\81wqjg~\95\88\81wqjg~\92\8b\85wtmg\81\95\8b\85{tmg~\98\8b\85{tmj\81\95\8b\85~tmj\81\98\8e\85~tmj\81\98\8e\85~tqj\81\9c\92\85~wmj\85\9c\8e\85~wqj\85\98\8e\85~wqj\85\9c\8e\85~wtm\85\9c\92\88\81wqm\85\9c\92\85~wtj\85\9c\92\88\81wtm\85\9c\92\88\81{tm\85\9f\92\88\81wtm\85\9f\92\88\81{tm\85\9f\92\88\81{tm\85\9f\92\88\81{tm\88\9f\92\8b\85{tm\88\9f\95\88\81{tm\88\9f\92\88\81{tm\88\9f\92\88\85{wm\88\9f\92\88\85{tq\88\9f\92\88\85{tm\88\9f\95\8b\81{tm\88\9f\95\88\85{tm\88\9f\92\88\85{tq\88\9f\95\8b\85{tm\88\9f\95\8b\85{wm\88\9f\95\88\85{tqÐÚÐƹ³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôñÿÿþøîäÚ×ûñçÝÓÊÃÀëÝÓÊÀ¹³¯ÝÓƼ¶¯¥¬×ÊÀ¹¬©\9f©ÓƼ¶¬¥\9f¥ÓƼ³¬¥\9f¥Óƹ³©¢\9c¥Ðƹ¯©¢\9c¢Íù¯©\9f\98¢ÊÀ¶¬¢\9f\98\9cƼ³©\9f\9c\92\9cù¯¥\9f\98\8e\95À¹¬¢\9c\92\8b\92¼³¬¢\95\92\8b\8e¼³¥\9f\95\8e\88\92¹¯¥\9f\92\8b\85\8b¹¯¢\9f\92\8b\85\88¶¬¢\95\8e\88\85\88³©\9f\95\8e\85\81\85³©\9f\95\8b\85~\85³©\9f\92\8b\85~\85¯¥\9c\92\88\85~\85¯¥\9c\92\88\85~\81¬¢\9c\92\88\81{\81¬¢\98\8e\88\81{~¬¢\98\8e\85\81{t\8e\85{tjj`]SMF<96//%\1f\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\ 4\ 4\0\0\0\e("\e\15\11\ e\v6<9/,%%\1fFPMC962/P]VPIC<9Sg]SPIC<Vj`VPMFCZj`ZSMFCZmdZSPFC]md]VPMF`mj`ZPPFdtjd]SPIjwmg]VPPj{qg`ZVPm~tjg]VSq\81wmj`ZSt\81wmj`]Vw\85{qjd]Zw\85~tmg`Zw\85~wmg`]{\88\81wmjd]{\8b\85wqjd`~\8b\81wqjg`\81\8e\85{tmg`\81\8e\85{tmj`\85\8e\85~tmj`\85\92\88~wqjd\85\92\88~wqjgÍÐƹ³©¢\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîîÿÿøîäÝÓÓôçÝÓÊü¼áÓÊÀ¹¯©¯ÓÊÀ¶¬¥\9f©Íƹ³©¢\98©Íù¯¥\9f\95©Êù¯¥\9f\95©ÍÀ¹¬¥\9f\98¥ÊÀ¹¬¥\9f\95¥Ê¼¶¬¢\9c\95\9fƼ³©¢\9c\95\9fù¯¥\9f\95\8e\9cÀ¹©¢\9c\92\8b\9c¼³©\9f\98\92\88\98¼³¥\9f\92\8e\88\95¹¯¥\9f\92\8b\85\92¶¬¢\9c\92\8b\85\92¶¬\9f\98\92\88\85\8e³©¢\95\8e\88\81\8b³©\9f\95\8b\85~\8b³¥\9f\92\8b\85~\88¯¥\9c\92\88\85~\88¯¢\9f\8e\88\85{\85¯¢\9c\8e\88\81{\85¬¢\9c\8e\85\81{\85¬¢\95\8e\85~w\85©\9f\95\8b\85~wt\88\85{qjg]ZPI?<62/,"\1f\18\ e\v\a\ 4\ 1\ 4\0\0\0\0\0\0\ 1\ e\a\ 1\0\0\0\0\1f(\1f\e\11\v\v\ 49<6/,""\1fMPI?962/V]SPF?96]g]SPIC<]j]VPIC?]j`ZSPFC`j`ZSPICdmg]VPMFgmj`ZSPFjtjd]SPMmwmg]VPPm{qj`]SPt{tjd]ZSw~wmg`]V{\81{qjd]V{\85{tjd]Z~\85~tmg`]~\88~tmj`]\81\88\81wmjd]\85\88\85wmjd]\85\8b\85{tjg`\85\8e\85{tmj`\88\92\85~tmg`\88\8e\88~tmjd\8b\92\85\81wmjd\8b\92\88~tqjdÓÐƼ³©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîñÿÿûñäÝÓ×ôëÝÓÆƹÃá×Êù³©¶ÓÊÀ¶¬¥\9f³Ðƹ¯¥\9f\9c¯Íù¯¥\9f\95³Íù¯¥\9f\95³Êù¯¥\9f\98¯ÍÀ¹¬¥\9f\95¯Ê¼¶¬¢\9f\95©Ê¼³©¢\98\92¥Æ¹¯¥\9f\95\8e¢Ã¹¬¢\9c\92\8e\9f¼¶¬\9f\98\92\88\9c¹¯¥\9f\95\8e\88\9c¹¬¢\9c\92\8e\85\98¶¬¢\9c\92\88\85\95¶¬\9f\98\8e\88\81\95¶©\9f\95\8e\88\81\95³¥\9f\95\8b\85\81\92¯¥\9f\92\88\85~\92¯¥\9c\92\8b\85~\8e¬¢\9c\92\88\81{\8e¬¢\98\8e\88\81{\8e¬\9f\98\8e\88\81{\8b¬¢\95\8e\85\81w\8b¬¢\92\8e\85\81ww\8b\85{qjg]ZPMC<66/,%\1f\e\11\ e\v\a\ 4\ 4\0\0\0\0\0\0\a\11\a\ 4\0\0\0\0%%\1f\18\15\ e\v\a?<6/(%"\1fPSF?96/,]]SMC?96`g]SMFC<gj`VPIF?gj`ZSMF?jjdZSPICjmd]VPMCjmg]VPPFqtj`ZSPItwmg]VPMw{qj`ZSP{~tjd]VS~~wmg]ZS\85\81wmj`]V\85\85{qjd]V\85\85~tjg]Z\88\88~tmg`]\88\88\81wmjd]\88\88\81wmjd]\8b\88\81{tjg`\8b\8e\85{qmg`\8e\8b\85{tmg`\8e\8e\85~wmjd\92\92\88~tqjg\92\92\88~wqjgÓÐƹ³©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîôÿÿøîäÝÓÚñëÝÓÊùÆáÓÊù³¬¹Óʼ¹¯¥\9f¹Ðƹ¯©¢\9c¹Íù¯¥¢\95¹Íù¯¥\9f\98¹Êù¯¥\9f\98¹ÍÀ¹¯¥\9f\98¶ÊÀ¶¬¢\9f\95³Æ¼³¬\9f\9c\92¯Æ¹¯¥\9f\98\8e¬Ã¹¬¢\9f\95\8b©¼¶©\9f\95\92\88¥¼³¥\9f\92\8e\88¢¹¯¢\9c\92\8e\85¢¶¬¢\98\92\88\85\9f¶¬\9f\98\8e\88\81\9f³©\9f\95\8e\88\81\9c¯©\9f\92\8b\85\81\9c¯¥\9f\95\8b\85{\98¯¥\9c\92\8b\85~\98¬¢\9c\92\88\81{\95¬¢\98\8e\85\81{\95¬¢\98\8e\85\81{\95¬\9f\95\8e\85\81{\95©\9f\95\88\85~w\92©\9f\95\8b\85~w\92©\9f\92\8b\85{t\92©\9f\92\8b\81{t\92¥\9f\92\88\81{t\92¥\9c\92\88\81{t\8e¥\9c\92\88\81{t\8e¥\9c\8e\88\81{t\8b¥\98\92\85\81{t\8e¥\9c\92\88\81{t\8b¢\98\8e\85\81wt\8b¢\95\8e\85~wq\8b¢\95\8e\85\81wq\8b¢\98\8e\85~wq\8b¢\95\8b\85~wq\8b¢\9c\8b\85~wq\88\9f\98\8e\85~wq\88\9f\95\8b\85{wq\8b\9f\98\8e\85~wq\8b\9f\98\8e\85{wm\8b\9f\98\8b\85~wq\8b\9f\98\8b\85{tq\8b\9f\95\8e\85~wm\8b\9f\98\8b\85~tm\8b\9f\95\8b\85~tq\8b\9f\95\8b\85{tm\8b\9f\95\8b\85{wm\8b\9f\95\8b\85{tm\8b\9f\95\88\85{wm\8b\9f\95\8b\85{tm\8b\9f\95\8b\85{tm\8b\9f\92\8b\85{wm\8b\9f\95\8b\85{wmq\85{qj`]VPIC96/,(%\1f\15\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\ 1\ 4\0\0\0\0\0\0"\1f\18\ e\v\ 4\ 4\0?6/,%\1f\1f\18VPF<62/,d]SMC?<6jg]SPIC<mj`ZPMF?mj`ZPPICmjd]SPICqmg]VPICtmg]ZPPFwtjdZSPI{tmg]VSP~wmj`]SP\81{tjd]ZS\85~wmg`ZS\85\81wqjd]V\88\85{tjd]Z\8b\85{tjg`Z\8b\85~tmg`]\8e\88\81wqjd]\92\88\81wqjd]\92\8b\85{qjg`\95\8b\85{tmg`\95\8e\85{tmjd\98\8e\85~tmjd\98\92\85~wmjd\9c\92\88~tqjd\9c\92\88~wqmg\9c\95\88\85wqmg\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmg\9f\95\8e\85{tmj\9f\98\8e\85{tmj\9f\95\8e\85~tmj\9f\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\9c\92\85~wqj¢\98\92\88~wtm¢\9c\92\85\81wqj¢\9f\8e\85~wtj¥\9f\92\85\81wtm¥\9c\92\88~{qm¥\9f\92\88\81wtm¢\9c\92\88\81wtm¥\9c\92\88\85wtm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9c\92\88\81{tm¥\9f\92\8b\81{tq¥\9f\92\88\81{tm¥\9f\92\88\81{tq¥\9f\92\88\85{tq¥\9f\95\88\81{tm©\9f\92\88\85{tm¥\9f\95\88\85{wm¥\9f\92\8b\85{wqäÚÍù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôîäÚîûñäÚÓÊÃÚçÝÓƼ¹¯ÓÚÐƹ¶¬¥ÐÓÊÀ¹¬©\9fÍÓƼ³¬¥\9fÐÐƼ³¬¢\9cÍÐƹ³©¢\9cÊÐƹ³©¢\9cÆÊù¯¥\9f\95ÆÊÀ¶¬¢\9f\92ÀƼ³©¢\9c\92¼Ã¹¯¥\9f\95\8e¹Ã¶¬¢\9c\92\8e¶¼³©\9f\98\8e\88¶¼³¥\9f\98\8b\88³¹¯¥\9c\92\8b\85¯¹¬¢\98\92\8b\85¯¶¬\9f\98\8e\88\81¬³©\9f\98\8e\88~¬³©\9f\95\8b\85~©¯¥\9f\92\8b\85~¥¯¥\9c\92\88\85~¥¯¢\9c\8e\88\85~¥¬¢\9c\8b\88\81{¢¬¢\98\8e\88\81{¢¬¢\98\8e\85~{¢¬\9f\92\8e\85~w¢©\9f\92\8b\85~w\9f¥\9f\92\88\85~w\9f¥\9f\92\88\85~t\9f¥\9f\92\88\81{t\9f¥\9f\92\85\81{t\9f¢\9c\8e\88\81{q\9f¥\9c\8e\85\81wt\9f¢\98\8e\88\81wt\9f¥\98\8e\85\81wt\9f¢\9c\8e\85~wt\9f¢\9c\8e\85~wq\9c¢\98\8e\85~wq\9f¢\98\8e\85~wq\9f¢\9c\8e\85~wq\9f¢\98\8b\85~wm\9f¢\98\8e\85~wq\9c¢\98\8b\85~wq\9c¢\98\8b\85~wm\9f\9f\98\8e\85~wq\9c¢\98\8b\85{wm\9c¢\95\8b\85{tq\9c\9f\92\8b\85{tq\9c\9f\92\8b\85{tm\9c\9f\98\8b\85{tm\9c\9f\95\8b\85{wm\9c\9f\98\8b\85{tq\9c\9f\95\88\85{tm\9c\9f\95\8b\85{tm\9c\9f\95\88\85{wm\9c\9f\95\8b\85{tm\9c\9f\95\8b\85{tm{\85{qjd]SPIC96/,(%\1f\18\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0(\1f\18\11\v\a\ 4\ 1?62,%"\1f\18ZPF?92/,j]SPF?<6md]SPFC<qj`ZPMF?tjdZSPICwj`]SPICwmg]VPMF{mj]ZPPF~tj`]VPM\81wmg]ZSM\85wqj`ZVP\88{tjd]ZP\88~tjg`ZS\8b\81wmj`]V\8e\85wqjd]Z\92\85{tjg`Z\95\85~tmg`]\95\88\81wmjd]\95\88\81wmjd]\9c\8b\85wqjg`\9c\8b\85{qjg`\9c\8e\85{tmgd\9f\8e\85~tmj`\9f\8e\85~wqjd\9f\92\88\81wqjgÚÐƹ¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîäÚÓñôëÝÓÊùáá×ÊÀ¹³©×Óʼ¹¯¥\9fÓÍƹ¯©\9f\98ÓÍù¯¥\9f\95ÐÍÀ¹¬¥\9f\95ÓÊù¬¥\9f\95Ðʼ¶¬¢\9f\95Íʼ¶¬¢\9c\98Êƹ³¥¢\95\92Æƹ¯¥\9f\92\92Ãö¬¢\9c\92\8b¼¼³©\9f\98\92\88¼¹¯¥\9f\95\8e\88¹¹¬¢\9c\95\8b\85¹¶¬¢\98\92\88\85¶³¬\9f\98\8e\88\81¶³©\9f\98\8b\88\81¶³©\9f\95\8e\85~³¯¥\9f\92\8b\85~³¯¥\9f\8e\8b\85~³¬¢\9c\92\88\81{¯¯¢\98\8e\88\81{¯¬\9f\95\8e\85\81{¬©¢\95\8e\85~w¯©\9f\95\8b\85~w\88\8b\81{qjg]ZPIC<62/,"\1f\15\11\v\a\ 4\ 4\ 1\0\0\0\0\0\0\18\ e\a\ 1\0\0\0\0/%\1f\18\11\v\a\ 4F<6/,%"\1f]PF?96/,j]SMC?96mdZPMFC<tg]VPIC?tj`ZPMF?wjdZSPFCwj`]SPIC{qg]VPPF\81qj`ZSPI\85wjd]VPM\85wqj`ZSP\88{tjd]VS\8b~tmd`ZS\8e\81wmg`]V\8e\85{qjd]Z\92\85{qjg`Z\95\85~tmg`]\95\88~wmjd]\98\88\85wqjd]\9c\88\85wqjg`\9c\8b\85{qmg`\9c\8e\85{tmj`\9f\92\85{tmjd\9f\8e\85~tmjd\9f\8e\88~wqjdÚÐƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿûîäÝ×ûôëÝÓÊüçáÓÊÀ¹³©Ú×ÊÀ¶¯¥\9f×Ðù³©\9f\9c×Íù¬¥\9f\98ÓÍù¬¥\9f\95ÓÍÀ¶¬¥\9f\95×ÊÀ¶¬¥\9f\95ÓƼ¶¬¢\9c\95ÐƼ³©\9f\9c\92Êù¯¥\9f\95\92ÆÀ¶¬¢\9c\92\8eƼ³©\9f\95\8e\88ù¯¥\9f\98\8e\88ù¬¥\9c\95\8b\85¼¶¬¢\98\92\8b\85¼³©\9f\98\8e\85\81¹³©\9f\95\8e\88\81¹³¥\9f\95\8b\85~¹¯¢\9f\8e\8b\85~¹¯¢\9c\92\88\81~¶¯¢\9c\8e\88\81{¶¬¢\98\8e\88\81{³©\9f\98\8b\85\81w³¬¢\95\8b\85\81w³©\9f\92\8b\85~w\8e\8b\85{qjg`ZSMC<62/,(\1f\18\15\ e\v\ 4\a\ 4\ 1\0\0\0\0\0\1f\11\v\ 4\ 1\0\0\0/(\1f\e\15\11\v\aF<6/,("\1f]PF?962/j]SPF?96qd]SIC?<tg]VPIF?wj`ZPMF?wj`ZSPFCwjd]SPIC~mg]ZPMF\81qj`ZSPI\85tmd]ZPM\85wqj`ZSP\88{qj`]VP\8b~tjg]ZS\8e\81wmj`]V\92\81{qj`]V\92\85{qjd]Z\95\85{tjg`]\95\88~wmg`]\9c\88\81wqjg]\9c\88\81wqjg`\9c\8b\85{qjg]\9f\8b\85{tjg`\9f\8e\85{tmjd\9f\8e\85{tmjd\9f\92\85~wmjdÝÐƹ¯¬\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîäÝÓûñçÝÓÊùëáÓÊÀ¹³©ÝÓʼ¹¬¥\9fÚÍù¯©\9f\9cÚÊÀ¹¬¥\9f\95×ÊÀ¶¬¥\9f\95×ÊÀ¶¬¥\9f\95×ÊÀ¶¬¥\9f\95×Ƽ³¬¢\9f\92Óƹ¯©\9f\9c\92Ðù¯¥\9f\98\92ÍÀ¶¬¢\9c\92\8eʼ³©\9f\98\92\88ƹ¯¥\9f\92\8e\85ƹ¯¢\9c\92\88\85ö¬\9f\98\92\88\85À³©\9f\98\8e\88\81À¶©\9f\92\8b\85~¼³¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¹¬¥\9c\92\88\85~¹¬¢\98\8e\88\81{¹¬¢\98\92\85\81{¹¬¢\98\8e\85\81w¹¬\9f\95\8e\85\81w¶©\9f\92\8b\85~w\92\8b\85wmjd]ZPI?962/("\1f\15\ e\v\ 4\ 4\ 4\ 4\0\0\0\0\0\0\e\ e\ 4\ 4\0\0\0\0/%\1f\15\11\ e\a\ 4F<6/,%"\1f]PF?96/,j]SMC?96qdZSPF?<tg]VPIC?wj`VPMF?wj`ZSMIC{md]VPIF{mg]ZPMF\81qj`ZSPM\85tmd]ZSM\85wmj`]SP\88{qjd]VS\88{tmg`]S\8e\81wmg`]V\92\81{qjg]Z\92\85{tjg]Z\92\85~tmgd]\95\88~tmjd]\98\88\81wqjd]\9c\8b\81wqjg]\98\8b\85{tjg`\9c\8e\85{tjg`\9c\8e\85{tmj`\9f\8e\88~tmjd\9f\92\85~wqjdÚÐù³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓþñëÝÓÊùîáÓÊù¯¬äÓÊÀ¹¬¥\9fÝÍù¯©\9f\9cÝÍö¯¥\9f\98ÚÍÀ¹¬¥\9f\98ÚÍù¬¥\9f\98ÚÊÀ¶¬¥\9f\95×ʼ³¬¢\9c\92×ƹ³©\9f\9c\92Óù¬¥\9f\98\8eÐÀ¶¬¢\9c\92\8eʼ³©\9f\98\8e\88ƹ¯¥\9f\92\8e\85ƹ¬¢\9c\92\8b\85ö¬¢\98\92\88\85ö¬\9f\98\8e\88\81À³©\9f\92\8b\88~À³¥\9f\92\8b\85~¼¯¥\9c\92\88\85~¹¯¢\98\92\88\85{¹¬¢\98\92\88\81{¹¬\9f\98\8e\88\81{¹¬\9f\95\8e\85~w¹©\9f\95\8b\85~w¶©\9f\92\8b\85~w\95\8b\85wtjd]ZPI?<62/("\1f\18\11\v\a\ 4\a\ 1\0\0\0\0\0\0\18\ e\a\ 1\0\0\0\0/%\1f\18\15\ e\v\ 4C96/,%\1f\eZPF?96/,j]SMC?96mdZPMF?<tg]SPIF?tj]VPMF?tj`ZSMF?wjd]SPIC{mg]VPMF~qj`ZSPI\81tj`]VPM\85wjg]ZSP\88{qjd]VP\88~tjg]ZP\8b\81wmjd]V\8e\81wqjd]V\92\85{tjg`Z\92\85{tmg`]\92\88~wmj`]\95\88\81wmjd]\98\8b\85wqjg]\98\8b\85{qmg`\9c\8e\85{tmg`\9f\8e\85{tmj`\9c\92\85~tqjd\9f\8e\88~wqjdÚÍù¯¥\9f\9cÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîäÚÓþñçÚÓÆùîÝÓÊÀ¹³©äÓʼ¶¬¥\9fÝÍù¯©\9f\98ÝÍö¬¥\9f\98ÚÊÀ¶¬¥\9f\95ÚÍÀ¹¬¥\9f\95Úʼ¶¬¢\9f\95×ʼ³©¢\9c\92×ƹ¯©\9f\98\95Óù¯¥\9f\95\8eÓö¬¢\9c\92\8bʼ³©\9f\98\8e\88ƹ¯¥\9f\95\8b\85ƹ¬¢\9c\92\8b\85ƶ¬¢\9c\92\8b\85ö©\9f\95\8e\85\81ó¥\9f\92\8b\85~À³¥\9f\92\8b\85~À¯¥\9f\92\8b\85{¼¬¢\98\92\88\85{¹¬¢\98\8e\88\81{¹¬\9f\98\8e\85~{¹¬\9f\95\8b\85~w¹©\9f\92\8b\85~{¹©\9f\92\88\85~w\98\88\85wqjg]ZPI?962/("\1f\15\11\v\a\ 4\a\ 1\0\0\0\0\0\0\18\11\a\ 4\0\0\0\0/(\1f\18\11\ e\v\ 4F<6/,%"\1fZPI?962,g]SPF?96md]SMFC<qj]VPMF?tj`ZPPFCwj`]SPICwjd]SPIF{mg]VPMF~qj`ZSPI\81tmd]VPM\85wmg]ZSP\88{qj`]VP\88{tjg]ZS\8b\81wmj`]V\8e\81wqj`]V\92\85{qjd]Z\92\85{tmg`]\95\85~tmg`]\95\88~wmjd]\95\8b\81wqjd]\98\8b\85wqjg`\98\8b\85{tjj`\98\8e\85{tmj`\9c\8e\85{wmgd\9f\92\85~tqjgÚÍƹ¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿÿøîäÚÓÿôçÝÓÊüñáÓÊÀ¹¯¬äÓƼ¶¬¥\9fÝÍù¯¥\9f\9cÚÊÀ¹¬¥\9f\95ÚÊÀ¶¬¢\9f\95ÚÊÀ¶¬¥\9f\98×ÊÀ¶¬¢\9f\98ÓƼ³©¢\9c\92Óƹ¯¥\9f\98\92Óù¯¢\9f\95\8eÍÀ¶©\9f\9c\92\8bʼ³©\9f\98\92\88ƹ¯¥\9c\92\8e\85ƹ¬¢\9c\92\8b\85ƶ¬\9f\98\8e\88\85ó¬\9f\95\8e\88\81ó©\9f\92\8b\85~À¯¥\9f\95\8b\85~¼¯¥\9c\92\88\85{¼¯¥\9c\8e\88\85~¼¯¢\9c\8e\88\81~¹¬\9f\98\8e\85\81{¹©¢\95\8b\85~w¹©\9f\95\8b\85~w¹©\9f\92\8b\85~w\9c\8b\81wqjg]ZPIC<62,,"\1f\15\ e\v\a\ 4\a\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0/%\1f\15\11\v\a\ 4F96/("\1f\eVPF?66/,g]SMF?96jdZSMF?9qg]SPIC?qj`VPMF?tj`ZPMICtjdZSPFCwmg]VPIF{qj`ZSPI\81tjd]VPM\85wmg`ZSP\85{qjd]VS\88{tjg]ZS\8b\81wmg`]V\8b\85{qjd]Z\8e\85{tjg]Z\92\85{tjg`Z\92\85~tmjd]\95\88\81wmjg]\95\8b\81wqjg]\98\8b\85wqmg`\98\8e\85{tmj`\9c\8e\85~tmjd\9c\92\85~tmjd\9c\8e\85~wqjgÚÍù¯©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓÿôëÝÓÊùñáÓÊÀ¹³©äÓÊÀ¶¬¥\9fÝÐù¯©¢\9cÚÊÀ¹¬¥\9f\98ÚÊÀ¶¬¥\9f\95×ʼ¹¬¥\9f\98×ʼ¶¬¢\9c\95×Ƽ³©¢\9c\95Óƹ³¥\9f\98\92Ðù¯¥\9f\95\8eÐÀ¶¬¢\9c\92\8bʼ³©\9f\98\8e\88ƹ¯¥\9f\95\8e\85ƹ¬¢\9c\92\8b\85ö¬\9f\98\92\88\85ö©\9f\98\8e\88\81À³¥\9f\92\8e\85\81À³¥\9f\92\8b\85\81¼¯¥\9c\92\8b\85{¹¯¢\9c\8e\88\81{¹¬¢\98\8e\88\81{¹¬\9f\98\8e\85\81{¹©\9f\95\8b\85~w¹©\9f\92\8b\85~{¹©\9f\95\8b\85~w\9c\88\85{qjg`ZSMC<66/,%\1f\18\15\ e\v\a\ e\ 4\ 1\0\0\0\0\0\18\ e\v\ 4\ 1\0\0\0/(\1f\e\15\ e\ e\ 4F<6/,%"\1fZPI?96/,g]SMC?96mdZSMF?<qg]VPIF<qj]VPMF?tj`ZPMFCtj`ZSPICwmg]VPMF{qj`ZSPF~tjd]SPM\81wmg]ZSP\85{qj`]VP\85~tjg]ZS\88\81wmg`]V\8b\85wqjd]V\8e\85{qjd`Z\8e\85{tjg`Z\8e\85~tmg`]\92\88~wmjd]\92\88\81wqjg`\95\8b\81wqjg`\95\8e\85{tjj`\98\8e\85{tmj`\98\8e\85{tmj`\9c\92\88~tmjdÚÍù¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿÿøîäÚÓÿñçÝÓÆùîáÓÊÀ¹¯©áÓƼ¶¬¥\9fÝÍù¬¥\9f\9c×ʼ¹¬¥\9c\95×ÊÀ¶¬¥\9f\95×ͼ¶¬¢\9f\98×ʼ¶¬¢\9f\95ÓƼ³¬¢\9c\92Óƹ¯¥\9f\9c\92Ðù¯¥\9f\95\8eÍÀ¶©¢\9c\92\8bʼ³©\9f\98\8e\88ƹ¯¥\9c\92\8e\88ù¯¢\9c\95\8b\85ù©¢\98\8e\88\85À¶©\9f\95\8e\85\81¼³©\9f\95\8e\85~¼¯¥\9f\92\8b\85~¼¯¢\9f\92\88\85~¹¯¢\9c\8e\88\85{¹¬¢\98\8e\85\81{¹¬¢\98\8e\85~w¹©\9f\95\8b\85~w¹©\9f\95\8b\85~w¶©\9f\95\8b\85~w\9c\8b\85wqjd]ZPI?<62/("\1f\15\11\v\a\ 4\v\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,"\1f\18\11\ e\a\ 4C96/,%\1f\1fVPF?92/,dZSPC?96jdZSMF?<qg]VPIF?qj]ZPMFCtj`ZSPFCtjdZSPICwmg]VPMF{qj]]SPI~tjg]ZSP\81wqg`ZSP\85{qj`]VP\88~tjd`ZS\88~wmg`]Z\8b\81{mj`]Z\8b\85{qjg]Z\8e\85{tjg`Z\92\88~tjg`Z\92\88\81tqjd]\95\88\81wqjg]\95\8b\85wqjg`\98\8e\85{tmg`\95\8e\85{tmjd\98\8e\85{tmj`\9c\8e\85~wmjd\9c\92\88~wmjg\9f\92\88\81wqmg\9f\92\88~wqjg\9f\92\8b~wqjg\9f\95\88\81{tjj\9f\92\8b\81wtjj\9f\95\8b\85{tmj¢\98\8b\85{tmj\9f\98\8e\85{tmj\9f\98\8e\85~tmj¢\95\8e\85~wqj¢\98\8e\85{wqj¢\98\8e\85~tqj¢\95\8e\85~wqj\9f\98\8e\85~wqj¢\9c\8e\85~wqj¥\98\8e\85~wqj¢\98\8e\85~wtj¥\9f\8e\88~wtj¢\9c\92\88~wtm¥\9c\92\88\81wtj¥\9c\92\85~wqm¥\9f\92\85~{tm¢\9c\92\85\81{qm¥\9c\8e\88\81{tm¢\9c\92\88\81wtm¢\9c\92\88\81{qm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tmä×Íù¯¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôëäÚÿûîäÚÐÊÃôçÝÐƼ¹¯çÚÐù³¬¢áÓƼ¶¬¥\9fÝÐƹ³©¢\9fÝÐƹ³¬¢\9cÚÐù¯©\9f\9cÚÍù¯©\9f\9cÚÊÀ¹¬¥\9f\98×ʼ¶©¢\9c\95Óƹ¯¥\9f\98\92Ðù¬¢\9f\95\8eʼ¶¬¢\9c\92\8bƼ³¥\9f\95\8e\88ƹ¯¥\9c\92\8b\85ù¬¢\98\92\88\85À¶©\9f\98\92\88\85À³©\9f\95\8e\85\81¼³©\9f\92\8e\85~¼¯¥\9c\92\8b\85~¹¯¢\98\8e\8b\85~¹¬¢\9c\8e\88\85~¹¯¢\98\8e\88\81{¹¬\9f\98\8e\88\81{¶¬\9f\95\8e\85\81{¶©\9f\98\8b\85~w³©\9f\95\88\85~w³¥\9c\92\88\85{t³©\9c\92\88\81{t³¥\9c\92\88\81~t¯¥\98\92\88\81{t¯¥\98\8e\85\81wt¯¢\9c\92\85\81wt¯¢\98\8e\85~wq¯¢\95\8e\85\81wq¬¢\95\8e\85~wq¯¢\95\8e\85~wq¬¢\95\8e\85\81wq¬¢\95\8e\85~wq¬\9f\95\8e\85~wq¬\9f\95\8b\85{tq¬¢\92\8b\85~tm¬\9f\95\8b\85{tq¬\9f\95\88\81{tm¬\9f\95\8b\85{tm©\9f\92\8b\81{wm¬\9f\95\88\81{tm¬\9f\92\8b\81{wm¬\9f\95\8b\85{tm¬\9f\95\88\81{tm©\9f\92\88\81{tm©\9f\92\8b\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88~{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\95\88\81{tm\8e\85wqjd]VPIC96//("\1f\18\ e\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\18\11\v\a\ 4\ 1?6/,("\1f\18SPF<62/,d]SMF?96jd]SPFC?mg]VPMC?qj`ZPMF?tj`ZSMICtm`]SPIFwmg]VPMFwqj`ZSPI{tjd]VPM~wmg]ZSP\85{qj`]ZP\85{tjd]ZS\88~tmg`]V\8b\81wmj`]V\8b\85{qjd]V\8e\85{tjg]]\8e\85~tmg`]\92\88~tmj`]\92\8b\81wmjd]\92\88\81wqjg]\95\8b\85wqjg]\98\8b\85{tmg`\98\8e\85{tmj`\9c\8e\85{tmjd\9c\8e\85~wqjg\9c\92\88~wqjd\9c\92\88\81wqjg\9f\92\88\81wqjg\9f\92\8b\81{qjj\9f\95\8b\81{tmj\9f\92\8b\85{tmg\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\98\8e\85{tqj¢\98\8e\85~tmj\9f\98\8e\85~tqj\9f\95\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~{qj¢\95\8e\85\81tqj¢\98\8e\85~wqj¢\9c\92\85~wqm¢\9c\92\85~wqm¢\9c\92\85~wqj¢\9c\8e\88\81wqm¢\9c\92\85~{qm¢\98\8e\88\81wqj¥\9c\92\85\81{tj¥\9c\92\88\81wtm¢\9c\92\88\81{tm¥\9f\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88\81{tmäÚÍù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøÿÿÿûôîáÚÿûîä×ÐÊÀñäÚÐƼ¶¯ä×Íù³¬¢ÝÓƼ¶¬¥\9fÚÐƹ³©¢\9fÚÐù¯©¢\9fÚÐù¯©¢\9cÚÐù¬¥\9f\98×ʼ¶¬¥\9f\98Óʼ³©¢\9c\92Ðƹ¯¥\9f\98\92Êö¬¥\9f\95\8eÊÀ³©\9f\9c\92\8bù¯©\9f\95\8e\88ù¬¥\9f\92\8b\85ù¬¢\9c\92\8b\85¼¶©\9f\98\8e\88\85¼³©\9f\95\8e\85\81¹¯¥\9f\92\8b\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¹¬\9f\98\8e\88\85~¶¬\9f\95\8e\88\81{³¬\9f\95\8b\85~{¶©\9f\98\8b\85~w³©\9f\95\8b\85~w\95\8b\81{qjg]ZPI?962/,"\1f\18\11\v\a\ 4\a\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0,%\1f\15\11\v\a\ 4C<6/(%\1f\1fVPF<66/,dZSMC<96jdZPMC?<mg]VPFC<mg`VPIF?qj]ZSMF?tj`]SPFCwmg]VPIF{qj`VSPI~tj`]VPM\81wmg]ZSP\85{qj`]VP\85{tjd]ZS\88~wmg`ZS\88\81wmj`]V\8b\85{qjg]Z\8e\85{tmg`]\92\85~tmg`]\92\88\81wmj`]\92\88~wqjg]\92\8b\81wqjg`\95\8b\81{tmg`\98\8b\85{tmgd\98\8e\85{tmjd\98\8e\85~tmjd×Êù¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþøîäÚÓûñçÚÓÊÀ¹ëáÓÆÀ¹¯©ÝÓƼ³¬¥\9f×Íù¯©\9f\9c×ÊÀ¶¬¢\9f\95ÓÊÀ¶¬¥\9f\95Óʼ¶¬¥\9f\95Óʼ¶©¢\9c\95Óƹ³©¢\9c\92Íƹ¯¥\9f\98\92Êö¬¢\9c\92\8bƼ¶¬¢\9c\8e\8bƼ¯¥\9f\95\8e\88ù¬¥\9c\92\8b\85À¶¬¢\98\92\88\85¼³©\9f\98\92\88\85¼³¥\9f\92\8e\85\81¹³¥\9f\95\8e\85~¹³¢\9c\92\8b\85~¹¯¥\98\8e\88\81{¹¬¢\9c\8e\88\81{¶¬\9f\98\8e\85~{¶¬\9f\95\8e\85\81{³¬\9f\95\8b\85{w³©\9f\95\8b\85~w¯©\9f\95\8b\85~w\92\88\81wmjd]VPF?96//("\1f\15\11\ e\v\ 4\a\ 4\0\0\0\0\0\0\15\ e\v\ 4\0\0\0\0,%\1f\18\11\ e\v\aC<6/,%"\1fZPF?96//d]SMC?96jdZSMF?<mg]VPIC?qj]ZPMF?qj`ZSMFCtjd]SPMCtmg]VPMF{qj`ZSPF~tj`]SPI\81tmg]ZSP\81wqj`]VP\85{tjd]VS\88~wjj`]V\88\81wmjd]V\8b\85{qjg]V\8e\85~tjg`Z\92\85~tmg`]\92\85~tmjd]\92\88\81wmjg]\92\88\81wmjg]\95\8b\85wtjg]\95\8b\85{tmg`\95\92\85~tjj`\9c\8e\85~tmj`×Íù¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîäÚÓþñçÝÓÊÀ¹ëÝÓÆÀ¹¯©ÝÓƼ³¬¥\9f×ÍÀ¹¬¥\9f\9cÓÊÀ¶¬¥\9f\95Óʼ¶¬¥\9f\98ÓÆÀ¶¬¢\9f\92ÓƼ³©¢\9f\92Ðƹ³©¢\98\92Íƹ¯¥\9f\95\92Êö¬¢\9f\92\8eƼ³©\9f\9c\92\88ü¯©\9f\95\8e\88ù¬¥\9c\92\8b\85À¶¬¢\9c\92\88\85¼³©\9f\95\8e\88\81¼³©\9f\95\8b\85\81¹³¥\9f\92\8b\85~¹¯¥\9c\92\88\85~¹¯¢\9c\92\88\85~¹¬¢\98\8e\88\81{¶¬\9f\98\8e\88\81{¶¬\9f\95\8b\88~w³©\9f\95\8b\85~w³©\9f\92\8b\85{w¯©\9f\92\8b\85{w\92\88\81wmjd]VPF?96/,("\1f\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0,"\1f\15\11\v\a\ 4C92/("\1f\eVPF<66/,`ZSIC?96j`ZSIC?9mg]SPIC<mg`VPIF?qj`ZPMF?qjdZSPICtmg]VPMFwqj]ZSPI~tjdZVPM~wmg`ZSP\85wqj`]VP\85~tjg]ZS\85~wmg`]S\8b\81wmj`]Z\8b\85{qjg]Z\8b\85{tmg`Z\8e\85~tmjd]\92\88\81tmjd]\92\88\81wmjg]\95\8b\81wqjd]\95\8b\85wtmj`\95\8b\85{tjg`\98\8e\85{tmjd\98\8e\85{wmjg×Íù¯¥\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿÿôîáÚÓþñçÝÓÊùëÝÓÊÀ¹¯©ÝÓƼ¶¬¥\9f×ÍÀ¹¯¥\9f\9cÓʼ¶¬¢\9c\95Óͼ³¬¢\9f\95ÓƼ¶¬¢\9c\92ÓƼ³¬¢\9c\92Ðƹ³©\9f\9c\95Ðƹ¯¥\9f\98\92ÊÀ¹¬¢\9c\95\8bƼ³©\9f\98\92\88ƹ¯¥\9f\98\8e\88ù¬¢\9f\92\8b\85À¶¬¢\98\8e\88\85¼³¬\9f\95\8e\88\81¼³©\9f\95\8b\85\81¹¯¥\9c\95\8b\85~¹¯¥\9c\92\88\85~¹¬¢\9c\8e\88\85~¶¬¢\98\8e\88\81{³©¢\98\8e\85\81{¶©\9f\95\8e\85\81{³©\9f\92\8b\85~w³¥\9f\92\88\85~w¯¥\9f\92\88\85~w\92\88\81wmjd]ZPI?962/,"\1f\18\11\ e\v\ 4\a\ 4\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,%\1f\18\11\ e\v\aC92,(%\1f\eVPF?92/,`ZPMC?96j`ZPIF?9mg]SPIC<qg]VPIF?qg]ZPIFCqj`ZSPFCtmg]VPMC{mj`ZSPI~tj`]VPM~wmg]VSP\85{qj`ZVP\85{tjg]VS\85~tmg`ZS\88\81wmj`]V\8b\85{qj`]Z\8e\85{tjg`Z\8e\85~tjg`]\92\88~tmj`]\92\88\81wqjd]\95\88\81{qjg]\92\8b\81wqjg`\95\8b\85{qjg`\98\8e\85{tmgd\9c\8e\85{tmjd×Êù¯©\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôîáÚÐûîäÚÓÆÀ¹çÝÓƼ¹¯¥ÚÐƼ³¬¥\9f×ÊÀ¹¬¥\9f\98ÓƼ¶¬¢\9f\95ÓƼ¶¬¢\9f\95ÓƼ¶¬¢\9f\95ÓƼ³©¢\9c\92ÐƼ³©¢\9c\92Ðù¯¥\9f\98\92ÍÀ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\92\8e\88ù¬¢\9c\92\8b\85¼¶¬\9f\95\92\88\85¼³©\9f\95\8e\88\81¼³©\9f\95\8b\85~¹¯¥\9f\92\8b\85~¹¯¢\9c\8e\88\85{¹¬¢\9c\8e\88\85{¹¬¢\95\8e\88\81{¶¬\9f\95\8e\85\81w³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯©\9f\95\88\85~t³¥\9f\92\88\85~w\92\88\81wmjd]VPI?962/("\e\15\11\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0,"\1f\18\11\ e\v\ 4C92/,%\1f\eVPF<62/,dZSMF?96j`ZPPFC<mg]VPIF?mj`VPMFCqj`ZPPFCtj`]SPICwmg]VPMIwqj`]SPI~tj`]VPM\81wmd]ZSP\85{qj`]VP\85{tjg]ZS\88~wmj`]V\88\81wmg`]V\8b\85wqjd]Z\8e\85{tjg`Z\8e\85~tmg`]\92\88~tmj`]\92\88\81wmjd]\95\88\81wqjg]\95\8b\85wqjg`\95\8b\85{tmg`\98\8e\85{tmj`\98\8e\85{tmj`×Íù¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîäÚÓûñäÚÐÆùçÝÓƼ¹¯©ÝÓƼ³¬¢\9fÓÍù¯¥\9f\98ÓÊÀ¶¬¥\9f\98Óʼ¶¬¥\9f\95Óʼ³¬¥\9c\95Óʼ³©¢\9c\92Ðƹ³©¢\98\92Íù¯¢\9f\98\8e¯¥\9c\92\88\85{tmg]VPMF?96/(%\1f\1f\15\1f\15\11\a\ 4\ 1\ 1\0,"\e\15\11\v\a\ 496/("\1f\e\15SIC96//,dZSMF?<6mg]SPIF?tm`]SPIFtmg]VPMFwmg`ZPPFwqj`ZSPI{qj`]VPI~tj`]VPM~wjg]ZSP\85{mj`ZVP\85~tjd]ZP\88~tmg`ZS\88\81wmj`]V\8b\85wqj`]Z\8e\85{qjg`Z\8e\85~tmj`]\92\88~tmjd]\92\88\81wqjd]\95\88\81wqjg]\95\88\85{qjg`\95\8b\85{tmj`\95\8e\85{tmg`\98\8b\85{tmjd\9c\8e\85~tqjd\9c\92\88~wmjgÚÐù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøîäÚÓûñçÝÓÊÀ¹ëÝÓÆÀ¹³©ÝÓƼ¶¬¢\9f×Íù¬©\9f\95×ÍÀ¶¬¥\9f\98Óʼ¶¬¥\9f\95Óʼ¶©¥\9f\95ÓƼ¶©¢\9c\95Ðƹ³©¢\98\95Êù¯¥\9f\98\92Êö¬¢\9f\92\8eƼ³©\9f\9c\92\8bù¯¥\9f\95\8e\85À¹¬¥\98\92\8b\85¼¹©¢\98\92\88\85¼¶¬\9f\95\8e\85\85¹³¥\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9f\92\8b\85~¹¯¢\98\8e\88\81~¶¬\9f\9c\8b\88\81{¶¬\9f\98\8e\85\81{³©\9f\98\8b\85~w³©\9f\95\8b\85{w¯¬\9f\92\8b\85{w¯©\9f\92\88\81{t¯¥\9c\92\88\85{t¯¥\9c\92\88\85{w¬¥\98\92\85\81{t¬¢\98\8e\85\81wq¬¢\95\92\85~wt¬¢\95\8e\85\81wt©¢\95\8e\85~wq¬¢\95\8e\85~wq¬¢\95\8e\85{wq¬¢\95\8e\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\81~tq©\9f\92\8b\81{tm©\9f\92\8b\81{tm©\9f\92\8b\85{tm¥\9f\92\8b\81{tm©\9f\92\8b\81{tq¥\9f\92\8b\81~tm¥\9f\92\88\81{tq¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\95\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88~{tm¥\9f\92\88~{qj¥\9f\92\88~{qm¥\9f\92\88~{qj¥\9f\92\88~{qm¥\9f\92\88~{tm¥\9f\92\88~{qj¥\9f\92\88~{tj¥\9f\92\88\81wtj¥\9c\92\88~wqj¢\9c\92\88\81wqj¥\9f\92\88~wtj¥\9f\92\85~wqj¥\9c\92\88~wqj¥\9c\92\88\81{tj¥\9f\92\88\81{tm¥\9f\92\88\81wqm¥\9c\92\85~wtj¢\9f\92\88~wqm¥\9c\92\88~wqj¥\9f\92\88~{qm¥\9f\8e\88~{qj¥\9f\92\88~{qm¢\9f\92\88~{qm¥\9f\92\88\81wqj¥\9c\92\88~wqj¢\9f\92\85\81wqm¢\9c\92\88~wqj¥\9c\92\85~{qm¥\9c\92\88~wqj¢\9f\92\88\81{qj¢\9c\92\85~{tj¢\9f\92\88~{qm¥\9f\92\88~wqm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\95\88\85{tm©\9f\92\8b\81{tm¥\9f\95\8b\81{tm©\9f\92\8b\85{tm©\9f\92\8b\85{tm¥\9f\95\8b\85{qq©\9f\95\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81~tq©\9f\92\88\81{tq©\9f\92\8b\81{qm¥\9f\92\88\81{tm©\9f\92\8b\81{qm¥\9f\95\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{qq©\9f\92\8b\81{tm©\9f\95\88\81{tm¥\9f\92\8b\81{qm¥\9f\95\8b\81{qm¥\9f\95\88\81{tm©\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{qm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\95\88\81{tm¥\9f\92\88\81{qm\8b\85wqjd]VSMC<62/,%\1f\e\11\ e\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\ 1\0\0\0\0\0"\1f\18\11\v\a\ 4\ 1<6/,%\1f\1f\18SMC<62/,d]SMF?96jd]SPIC<qj`VPMF?qj`ZSMF?qj`ZSPFCtj`]VPIFwmd]VPMF{mj`ZSPF~tj`]SPI~tjg]ZSP\85wmj`ZVP\85{tj`]VP\88\81tmg`ZV\88\81wmg`]V\8b\85wmjd]V\8e\85{tjg]Z\8e\85{tjj`Z\8e\88~tmj`]\92\88~wmj`]\92\88\81wqjg]\95\8b\81wqjg`\95\8b\81{tjg`\95\8e\85{tjj`\98\8e\85{tmj`\9c\8e\85~wmj`\9c\8e\85~wqjd\9c\8e\85~wqjg\9f\92\85\81wqmg\9f\92\85\81wqmg\9f\92\88\81{qmj\9f\92\88\81{tmg\9f\92\88\81{tmj\9f\95\88\85{tmg\9f\95\88\85{tmj\9f\95\88\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85{tmj\9f\95\88\85~tqj\9f\95\8b\85~wqj\9f\95\8b\85{wqj¢\95\8b\85~wqj¢\98\8b\85~wqj¢\95\8b\85~wtj¢\98\8b\85~wqj\9f\95\8e\85~wtm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¥\98\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85~{qj¢\9c\8e\85\81{qj¢\98\8e\85\81wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\8e\88~wtm¥\9c\8e\88\81wtj¢\9c\8e\88~wtj¢\9c\8e\85~wtj¢\9c\8e\88~{qj¢\9c\8e\85~wtm¢\9c\8e\85~wtj¢\9c\8e\88~wtm¢\9c\8e\88~wtm¢\9c\8e\88~wtj¢\9c\8e\85~wtm¢\9c\8e\88~{qm¥\9c\8e\88\81{tm¢\9c\8e\88~wtm¥\9c\92\88\81{tm¥\9c\92\85\81{qj¥\9f\92\88\81wtm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\8e\88\81{tm¢\9c\92\88\81wtj¥\9c\92\88\85wtm¥\9c\92\88\81{tm¥\98\92\88\81{tm¢\9c\92\88\81{tm¢\9c\8e\88\81{tm¢\9c\92\88\81{tm¢\9f\92\88\81{tm¢\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\85\81{tm¥\9f\92\85\81wqm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{qm¥\9c\92\88\81{tm¥\9f\92\88\81{qj¥\9f\92\88\81{tm¥\9f\92\85\81{tm¥\9c\92\88~{tm¥\9c\92\88\81wqm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81wtj¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tj¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{qm¢\9c\92\85\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9f\95\88\81{tm¬¢\9c\92\88\81{t¬¥\9f\92\88\81~w¥\9f\92\88\81wtm\98\8b\85wtmg`\8b\81{qjd]V\81{qgd]VP\81wqg`ZSP\81wqj`ZSP\85{tj`]VS\88\81wmg]ZS\8b\81{qjd]Z\8e\85~tmg`]\92\88~wmj`]\95\88\81wqjd]\95\8b\85wqjg]\95\8b\85{qjg`\98\8b\85{qmg`\95\8e\85{tmj`\95\8b\85{tmj`\98\8e\88{tmjd\9c\92\88~tqjd\9c\8e\88~wqjg\9c\92\88~wqmg]ZPIC<96/,"\1f\e\15\11\ e\a\ 4\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0¢\9c\8e\85\81{t¶ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîäÚÓÊù³©\9f\98\8e\85\81{tmd]VPMFtjd]VPIF\85~tjg`]V\98\8e\85{tmjd¢\98\8e\85{tqj¥\9c\8e\85\81wtj¥\98\92\85~wtm¢\98\8e\85~wqj\9f\95\8b\85{tmj\9f\92\88\85wqmj\9f\92\88\81wtmg\9f\95\88\81{tmj\9f\95\8b\85{tmg\9f\95\88\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85~tmj\9f\98\8b\85{wqj¢\95\8b\85{wqj\9f\98\8b\85~ttj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\88~wqm¢\9c\8e\85~wqm¢\98\8e\85~wtj¢\9c\8e\85~wtm¢\9c\8b\85~wqm¢\98\8e\88~wtm¢\9c\8e\85~wtm¢\98\8e\85\81wtm¢\9c\8e\88\81{wm¢\9c\8e\88~{tm¥\9c\8e\88\81wtm¢\9c\8e\85\81wtm¢\9c\8e\85\81wtm×ÍÀ¹¯¥¢\9cÿÿÿÿÿþøîÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøÿÿÿÿûñëáÿÿûñçÝ×Íÿøëá×ÐƼøîÝ×ÍƼ¶îç×ÐƼ¶¯îáÓÊÀ¹³¬çÝÐƼ¶¯©äÚÍƹ¶¬¥ä×Êù³¬¢ÝÓÆÀ¶¯©\9fÚÓü¯¬¢\9fÓʼ¹¬¥\9f\98Óʹ³©¢\9c\92Íù¯¥\9f\9c\92Íö¬¥\9f\95\8eƼ³©\9f\9c\92\8bƼ¯©\9f\95\8e\88ù¬¥\9c\95\8b\85ù¬¢\9c\95\8b\85¼¶©¢\95\92\8b\85¼³¥\9f\95\8e\88\81¼¯¥\9f\92\8b\85\81¹¯¢\9f\92\8b\85~¹¬¢\9c\8e\8b\85~¶¬\9f\98\8e\88\85~¶¬\9f\98\8e\88\81{³¬\9f\95\8b\85\81w³©\9f\95\8b\85~w¯©\9f\95\88\85~w¯©\9c\92\88\85{w¯¥\9c\92\85\85{t¬¥\9c\92\88\81{w¬¢\98\8e\88\85{t¬¢\98\92\85\81{t¬¢\95\8e\85\81wt¬¢\98\8e\85\81wq©¢\95\8e\85~wq¬¢\95\92\85~wq©¢\92\8e\85~wq©¢\95\8b\85~wq¬\9f\92\8b\85~wm©\9f\95\8b\85~wq©\9f\92\8b\81~wm©\9f\92\8b\85~tq©\9f\92\8b\85{tq¥\9f\92\8b\81{tm©\9f\92\88\85{tm¥\9f\92\88\81{tm©\9f\92\88\81~tm©\9f\92\88\81{qm¥\9f\92\88~wtm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88\81{qj¥\9f\92\88~{tm¥\9f\92\88\81{qm¥\9f\92\88~{qm¥\9f\92\88~{qm\88\81wmg`ZSPI?92/,("\1f\15\ e\v\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\v\ 4\ 4\ 1<6/,%\1f\1f\18SMF<92/,dZSIF?96jg]SPFC<qj`VPPF?qj`ZSPFCqjdZSPICtjd]VPICtmg]VPMFwqj`ZSPI{tjd]VPP\81wmg`ZSP\81wqj`]VP\85{tjg]VS\88~wmg`]S\88\81wmj`]V\8b\81{qj`]V\8b\85{qjd`Z\8e\85~tjg`]\92\85~wmj`]\92\88\81tmjd]\95\88\81wqjd]\95\88\85wqjd]\95\8b\85{qjg`\95\8e\85{tjg`\98\8e\85{tmj`\98\8e\85{tmjd\9c\8e\85~wmjd\95\8e\88~wmjd\9c\92\88~wqjg\9c\92\88\81wqjd\9f\92\88~wqjg\9f\92\88\81wtjj\9f\92\88\81wtmg\9f\92\8b\81{tmg\9f\92\8b\85{tmj\9f\95\8e\81{qmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{wqj\9f\98\8e\85~tqj\9f\95\8e\85~wmj\9f\98\8e\85~tqj\9f\95\8e\85~wqj\9f\98\8e\85~tqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\95\8e\85~wqj¢\95\92\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~{qm¢\9c\92\85~wqm¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\9c\92\85~wqjáÓÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûñëá×þøîáÓÐƼîä×Íü³¬á×Êù³©¢ÚÐù³¬¢\9f×Íù¯©\9f\9c×ÍÀ¹¬©\9f\9cÓÊÀ¹¬©\9f\98Óʼ¹¬¥\9f\98Óʼ¶©¢\9f\95Ðƹ³©¢\98\92Íù¬¢\9f\95\92ÊÀ³¬¢\9c\92\8eƼ³©\9f\95\8e\88ù¬¢\9c\95\8b\85À¹¬¢\9c\92\8b\85¼¶©\9f\95\92\88\85¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8e\85~¹¯¥\9c\92\8b\85~¹¬¢\9c\8e\88\85~¶¯\9f\9c\8e\88\81{³¬\9f\98\8e\85~{³©\9f\95\8b\85~{³©\9f\92\8b\85~w³¥\9c\92\88\85~w¯¥\9c\92\88\85{w¯¥\9c\92\85\85{t¯¥\98\92\88\81{t¬¢\98\92\85\85wt¬¢\95\8e\85\81wt¬¢\95\8e\85\81wt¬¢\92\8e\85\81wt¬¢\95\8e\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85~tm©\9f\92\8b\85~tm©\9f\95\8b\81~tm©\9f\92\8b\81{tq©\9f\92\8b\81{tm¥\9f\8e\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9f\92\88\81{qm¥\9f\8e\8b~{qm¥\9f\92\88~{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9f\92\88~{tm¥\9f\8e\88\81{qm¥\9f\8e\88\81{qm¥\9c\8e\88~{qm¥\9f\8e\88~wqm¢\9f\8e\88~wqm¥\9f\8e\85~{qm¥\9f\8e\88~{qj¢\9c\8e\85~wtm¢\9f\92\88~{qm¢\9f\8e\88\81{tm\88~tmd`ZVPI<92/,(%\1f\15\ e\v\ 4\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\15\11\v\a\ 4\ 1<6/,%"\1f\eSMC<62/,`ZPIC<96j`VPICC9jd]SPIC?mg]ZPIF?qj]VPMF?tj`VPPICtjdZSPICwmg]VPMF{qj`ZSPI~tjd]ZPP\81wmg`]SP\85{qjd]VP\85~qjd`ZS\88~tjg`ZS\88\81tmjd]V\8b\85wqjd`Z\8b\85{qjg`]\8e\85{tjg`]\8e\88~tmjd]\92\88\81wmjg]\92\88\81wqjg]\92\8b\81wtjg]\95\8b\81{qmg`\95\8b\85{tmj`\95\8e\85{tmj`\98\8e\85{tmjd\98\8e\85~wmjd\9c\92\88~wqjg\9c\92\85~wqjg\9c\92\88\81wqmg\9c\92\88~wtmg\9f\92\88\81{qmg\9f\92\88\81{tmg\9f\92\88\81{tmj\9f\92\8b\85{tqj\9f\92\88\85{tqj\9f\95\88\81{tmj\9f\92\8b\85{tqj\9f\95\8b\85{wqj\9f\98\8b\85{tmj\9f\98\8b\85{wqj\9f\95\8e\85{wmj\9f\95\8b\85{tmj\9f\95\8e\85~tqj\9f\98\8e\85{tmj¢\98\8e\85{tqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~{tj¢\9c\8e\85~wqmáÓÊÀ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûîÿÿÿûîçáÓÿøîáÓÍƼîáÚÍù³¬á×Íù¯©\9fÚÐƹ³©¢\9c×Êù¯¥\9f\98×Íù¯¥\9f\98×Êù¯©\9f\95ÓÊÀ¶¬¥\9f\98ÓƼ³¬¥\9f\92Ðù¯©\9f\98\92Íù¬¥\9f\98\8eƼ¶©¢\9c\92\8bƹ³¥\9f\98\92\88ù¯¥\9f\95\8b\85À¶¬\9f\9c\92\88\85¼³¬\9f\98\8e\88\85¹¯¥\9f\95\8e\88\81¹¯¥\9f\92\8b\85~¹¯¥\9c\92\88\85~¹¬¢\9c\8e\88\85{¶¬¢\98\8e\85\81{¶¬¢\95\8e\85\81w¶©¢\95\8b\85~w³©\9f\92\8b\85~w¯¥\9f\92\88\85{w³©\9f\92\88\81{t¯¥\9f\92\88\85{t¯¢\9c\8e\88\81{t¬¢\98\8e\85\81{q¬¢\9c\8e\85\81{q¬¢\98\8e\85~wq¬¢\98\8e\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85~tq©\9f\95\88\85{wm¬\9f\95\8b\85{wm©\9f\92\88\85{wm©\9f\92\88\85{tm©\9f\95\88\81{tm¥\9f\95\88\85{tm¥\9f\92\88\81{tm©\9f\92\88\81wtm©\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9c\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{qj¥\9f\92\85~{qm¥\9f\92\88~wqm¥\9c\8e\88\81wqm¢\9c\92\88~{qm¥\9f\92\88\81wtm¥\9f\92\85~wtj¢\9c\92\88\81{qj¥\9f\92\85\81wqj¥\9c\92\85\81wtj¢\98\92\85~wqj¢\9c\8e\85~wqj\88~wjg]ZSPF?92/,%"\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/("\1f\e\15SI?96/,(`VPFC<62j`VPMC?9jg]SPIC<mg]SPIC?mg]VPMF?qj]ZSMICtj`ZSPICtmg]SPMF{qj`ZSPI~tjd]VPM\81wmg]ZSP\81{qj`]VP\85{qjd]ZS\85\81tmg`]V\88\81wmjd]V\8b\85wqjd]Z\8b\85{tjg`Z\8b\85{tmg`Z\8e\85~wmjd]\92\88~wqjg]\92\88~wqjg]\92\88\81wqjg`\95\8b\85{tjj`\95\8e\85{tmj`\9c\8e\85{tmjd\98\8e\85~tmjd\9c\8e\85~wqjd\9c\8e\85~wqjd\9c\92\85\81wqjg\9c\92\88~wqmg\9c\92\88\81wqmg\9f\95\88\81wqmg\9f\92\88\81wqmj\9f\92\88\81{qmg\9f\95\88\81{tmj\9f\95\88\81{tmj\9f\95\88\81{tqj\9f\95\88\85{tmj\9f\92\8b\85{tqj\9f\98\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85~wqj\9f\95\8b\85{wqj¢\98\8b\85{tqj\9f\95\8b\85~wqj\9f\98\8e\85{wqj¢\98\8e\85{wqj¢\98\8e\85{wqj\9f\98\8e\85{wqj\9f\95\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\9c\8e\85~wqjÝÓÆÀ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøñÿÿÿøîçáÓþôëÝ×ÍƼîá×Êü¶¬áÓÊù¯©\9fÚÐƹ³¬¢\9f×Íù¯¥\9f\9cÓÊù¬¥\9f\98ÓÊÀ¶¬¥\9f\98ÓƼ¶©¢\9f\98ÐƼ³¬¢\9f\92Ðƹ¯©\9f\9c\92ͼ¶¬¥\9f\98\8eƼ³¬¢\9c\92\8bƼ³¥\9f\98\92\88ù¯¢\9f\95\8e\85ö¬¢\9c\92\8b\85¼³©\9f\95\8e\88\85¼¯¥\9f\95\8b\88\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85{¹¬¢\98\92\88\81{¶¬\9f\98\8e\85\85{³©\9f\98\8e\85\81w¶©\9f\92\8b\85~w³©\9f\95\88\85~t³¥\9c\92\88\85~t¯¥\9c\92\88\85{t¯¢\9c\92\88\81{t¯¢\98\8e\85\81{t¯¢\9c\8e\85\81{q¯¢\98\8e\85~wq¬¢\98\8e\85~wq¬¢\98\8b\85~wm©\9f\95\8b\85~wq©\9f\95\8b\85{wm©\9f\95\88\85{wq©\9f\95\88\85{wq©\9f\95\8b\85{tm©\9f\92\8b\85{tm©\9f\92\88\85{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\95\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\85\81wtj¢\9c\92\88\81wqm¥\9c\92\88~wqj¢\9c\92\85~wqm¢\9c\92\88~wqj¥\9c\92\85~{qj¢\9c\92\85~wqm¢\9c\92\88~wqj¢\9c\92\85~wqj¢\9c\92\85~wqj¥\9c\8e\85~wqj\88~tjg]ZSPF?62/,%\1f\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\e\15\v\ 4\ 4\ 1\096/("\1f\e\15SIC96//,]VPI?<62j`VPIF<9mg]SPFC?mg]SPIF?mg]VPICCqj`ZPMF?qj`ZSPICwjg]VPMF{qj`ZVPI{tjg]VPM~wmg]ZSP\85{tj`]VS\85~tjd]ZS\85~wmg`]V\88\81wmj`]V\88\85{qjg]Z\8e\85{qjg`Z\8e\85{tjg`]\8e\85~tmj`]\8e\88~wmjd]\92\88\81wqjg]\92\88\81{qjg`\95\8b\81{qjg`\95\8b\81{tmj`\98\8b\85{tmjd\98\8e\85{tmjd\98\8e\85~wmj`\9c\92\85~wqjd\9c\8e\85~wqjg\9c\92\88~wqjg\9c\92\88\81wqmg\9c\92\88\81wqmg\9f\92\88\81{qmj\9f\92\88\81wtmj\9f\92\8b\81{tmj\9f\92\88\81{qmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\81{wmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85~wmj\9f\95\8b\85{tqj¢\98\8e\85~tmj\9f\95\8b\85{tmj\9f\98\8b\85{tmj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wtj¢\95\8e\85~wqj¢\95\8e\85~wqjÝÓƼ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøîäÝ×þñçÝÓÍüëáÓÊù³¬á×ÊÀ¹¯©\9fÚÍù³¬¢\9f×ÍÀ¹¯¥¢\9c×ÍÀ¹¬¥\9f\95×ÍÀ¶¯¥\9f\95ÓƼ³¬¥\9f\98ÐƼ³©¢\9c\95Ðƹ¯©\9f\9c\92ÍÀ¹¬¥\9f\95\8eʼ³©\9f\9c\92\8bƹ¯¥\9f\98\8e\88ù¬¢\9c\92\8b\85À¶©¢\9c\92\8b\85À³©\9f\98\8e\88\85¹³¥\9f\92\8b\88\81¹¯¢\9f\92\8b\85~¹¬¢\9c\8e\88\85{¹¬¢\98\8e\88\85{¶¬\9f\98\8e\88\81{¶¬\9f\95\8b\85~w³©\9f\92\8b\85~w¯©\9f\92\8b\85~w¯©\9c\92\8b\85~t¯¥\9c\92\88\85~t\92\88~tmgd]VPF?962/(\1f\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\v\a\ 4\0\0\0\0,"\1f\18\15\ e\v\ 4?92/(%"\1fSPF<66/,`ZPIC<96j`ZPMFC<jd]SPFC?mg]VPIF?qj]ZPMF?qj`ZSPICtjd]VPMCwmg]VPPF{qj`ZSPM~tjg]VSM\81wmg`ZSP\85{qj`]ZS\85~tjd]ZP\88~tjg`]S\88\81wmj`]V\8b\85{qjd]Z\8b\85{qjg]Z\8e\85{tmg`Z\8e\85~tmj`]\92\88~tmjd]\92\88\81wmjg]\92\8b\81wqjg`\92\88\81wqjj`\98\8b\85{tmj`Óʼ¶¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûñëá×Ðûîá×ÐÆÀ¹çÝÐƹ¶¯¥ÚÐü¯¬¢\9fÓƼ¶¬¥\9f\95Óƹ³©¢\9c\92Óƹ³¥¢\9c\92Ðƹ³©¢\9c\95Ðƹ¯©¢\98\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bù¯¥\9f\98\92\88ù¬¢\9c\95\8b\85¼¶©\9f\98\92\88\85¼³¥\9f\95\92\88\81¹³¥\9f\95\8e\85\81¹¯¢\9f\92\8b\85~¶¬¢\9c\8e\8b\85~¶¬¢\9c\8e\88\85{¶¬\9f\98\8e\88\81w³©\9f\95\8b\85~w¯©\9f\95\8b\85~w¯©\9f\92\88\85~t¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¬¢\98\8e\85\81wt¬¢\98\8e\85\81wq¬¢\95\8e\85~wq¬¢\95\8e\85~tq©¢\95\8b\85~wq©¢\95\8b\85~tq©\9f\92\8b\85~tq©\9f\92\8b\85{tm©\9f\92\88\81~tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\8b\81~tm¥\9f\92\88\81{qm¥\9f\92\88~{qm¥\9f\92\88\81{qj¥\9f\92\88~{qm¥\9f\92\88~{qm¢\9f\92\88~{tm¥\9f\8e\88~{qm¥\9f\8e\88\81{qm¥\9c\8e\88~wqm¥\9c\92\88~{tm¢\9c\8e\88~wqm¢\9c\8e\88~{qm¢\9c\8e\88~{qm¢\9c\92\85~wqj¢\9c\92\88~{qm¢\9f\92\85~{qj¢\9f\8e\88~wqm¢\9c\8e\88~{qj¢\9c\8e\85~wqm¢\9c\8e\85~{qj\85~tmd`ZSPF<62/,("\e\15\ e\a\v\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\15\ e\a\ 4\0\096,("\1f\e\15PI?96/,,]ZPFC<62j]VPIC?9md]SMIC<mg]VPIC<qg]VPMC?mj]VPMF?qj`ZSPICtmd]SPMF{mj`ZSPI{qjd]VPM~wmg`ZSP\85wqjd]VP\85{tjd]ZS\85~tmg`ZS\88\81wqj`]V\88\81wqjd]Z\8b\85{qjg`Z\8e\85{qjg`Z\8e\85{tmg`]\8e\85~wmjd]\92\88~wqjg]\92\8b\81wqjg`\95\88\81{tjg`\95\8b\85{tmg`\95\8e\85{tmjd\95\8e\85{tmj`\98\8e\85~tmjd\9c\8e\85~tqjd\9c\8e\85\81wqjg\9c\92\88~wqjg\9c\92\88~wqmg\9f\92\88\81wqjg\9c\92\88\81wtmg\9c\92\88\81{tmj\9f\92\88\81wtmj\9f\95\88\81{tmj\9f\92\88\85{tmg\9f\92\88\81{tqj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85~tqj\9f\95\8b\85{tqj\9f\95\8e\85{wqj\9f\98\8b\85~wqj\9f\98\8e\85~wqj¢\95\8b\85~wqj\9f\98\8e\85~wqj¢\95\8b\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8b\85~wqj¢\98\8b\85~wqjÝÓƼ¶¬¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþûîçÝÓþôçáÓÍƼîáÓÍÀ¹³¬ÝÓÆÀ¶¯¥¢×ÍÀ¹¯©\9f\9cÓÊÀ¹¬¥\9f\98Óʼ¹¬¥\9f\98Óʼ¶¬¥\9f\98Óʼ¶¬¥\9f\95Óƹ³©¢\9c\92Íù¯¥\9f\9c\92Êö¬¢\9f\95\8eƼ³¬\9f\9c\92\88ƹ¯¥\9f\98\8e\88À¹¬¢\9c\92\8b\85¼¹©¢\98\92\88\85¼¶©\9f\95\8e\88\81¹³¥\9f\92\8e\85~¹¯¥\9f\92\8b\85~¹¬¢\9c\8e\8b\81~¶¬¢\9c\8b\88\81{³¬\9f\95\8e\85\81w³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯¥\9c\92\88\85~t¯¥\9c\92\88\85{t¯¥\98\8e\88\81{t¬¥\98\92\85\81{t¬¢\95\8e\85\81wt©¥\98\8e\85\81wt¬¢\95\8e\85~wq©\9f\95\8b\85~wq©\9f\92\8e\85~wm©\9f\95\8b\85~tq©\9f\92\8b\85~wq¥\9f\92\88\85~tq¥\9f\92\88\81{tm¥\9f\92\88\81{tq©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tq¥\9f\92\88~{tj¥\9f\92\88\81{tm¥\9f\92\88~{qm¢\9f\8e\88~{tm¥\9c\8e\88~{qm¢\9c\8e\88~{qm¢\9c\92\85~{qj¢\9c\8e\85~{qm¢\9c\8e\88\81{tj¢\9c\92\85~wqj¢\9c\8e\88~{qj¥\9c\8e\85\81wqj¢\9c\8e\85{wqj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\8e\85~wqj¢\9c\8e\85~wqj\85~tjg]ZSPF?62/,""\e\15\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0"\18\11\v\a\ 4\ 1\092/("\1f\e\15PF?92/,(`VPF?<66j`VPIC?9jdZSPFC<md]SPIC?mg]VPMF?qj`VPMF?tj`ZSPICwjd]VPMFwqj`ZSPI{tjd]VPM\81wmg`ZSP\85{qjd]VP\85{qjg]ZS\85~tmg`]S\88\81wmj`]V\88\85wmjg]Z\8b\85{qjg`Z\8e\85{tmj`]\8e\85~tmjd]\92\85\81wqjg]\92\88~wmjg]\92\88\81{qjg]\92\88\81{tmg`\95\8b\81{tmg`\95\8b\85{tmj`\98\8e\85{tmj`\9c\8e\85~tmjd\98\8e\85~wqjd\9c\8e\88~wqjd\9c\8e\88~wqjd\9c\92\85~wqjg\9f\92\88~wqjg\9c\92\88~wtjg\9f\92\88\81{qjg\9f\92\88\81wtmj\9f\92\88\81wtmj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\95\88\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\92\8b\85{wmj\9f\95\8b\85{tmj\9f\95\8b\85~tmj\9f\95\8e\85{tmj¢\95\8b\85{wmj\9f\95\8b\85{tqj\9f\98\8e\85{wqj\9f\98\8b\85~wqj\9f\98\8b\85~wqj\9f\98\8e\85~wqj\9f\98\8b\85~wqj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85\81wqjÝÓƼ³¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøîäÚÓûñçÝÓÊüëáÓÍü³¬ÝÓÆÀ¹³¥¢×Íù¯©¢\9c×Íù¬©\9f\9cÓÊÀ¹¬¥\9f\95×ͼ¹¬¥\9f\98Óʼ¶¬¢\9c\95Ðƹ³©¢\9c\92Íƹ¯¥\9f\98\92Êö¬¢\9f\95\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\98\92\88À¹¬¥\9c\92\8b\85À¶©¢\98\92\88\85¹³¥¢\95\8e\88\85¹³¥\9f\92\8e\85~¹¯¢\9f\92\8b\85~¹¯¢\9c\8e\88\85~¶¬¢\98\8e\88\81{³©\9f\95\8e\85~{³©\9f\95\8e\85~w¯©\9f\92\8b\85~w¯¥\9c\92\88\85~w¬¥\9c\92\88\85{t¯¥\98\92\88\81{t¯¥\98\92\85\85{t¬¢\95\8e\85\81wt¬¢\98\8e\85\81wt¬\9f\95\8b\85\81wq©\9f\95\8b\85~wq©\9f\92\8e\85~wq©\9f\92\8b\85~tq¥\9f\92\8b\81~tq¥\9f\92\88\81{tm©\9f\92\88\85{tm¥\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\8e\88\81{tm¥\9f\92\88~{qj¥\9c\8e\88\81wtj¢\9c\8e\88~wqm¢\9c\92\88\81{qm¥\9c\8e\85~wqj¥\9c\8e\88~{tj¢\9c\8e\88~{qj¥\9c\8e\85{wqj¢\9f\8e\88~wqj¢\9f\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85{wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wmj¢\9c\8e\85~wqj¥\9c\8e\85~wqj\85~qmd`ZSPF962/,%\1f\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\092/(\1f\1f\e\15PI?96//(`VPIC<62j]VPIF?9jd]SPFC<mg]VPIF?mg]VPIF?qj]ZSPFCtj`ZSPMFtjd]VSPF{qj`ZVPM{tjd]ZPM~wmg`ZSP\81{qj`]VP\85{tjd]ZS\85~tmj`ZS\88~wmj`]V\88\85wqjd]Z\8b\85{qjg]V\8b\85{tmg`Z\8e\85~tjj`Z\8e\85~tmjd]\92\88~wmjd]\92\88~wqjg]\92\88\81wqjg`\92\8b\81{qmg`\92\8b\85{tmj`\98\8e\85{tmj`\98\8e\85{tmjd\95\8e\85{wmjd\98\8e\85~wmjd\9c\92\85~wmjg\9c\8e\85~wqjg\9c\92\88~wqjg\9c\92\88~wqmg\9c\92\88\81wtmj\9f\92\88\81wtmg\9f\92\88\81{tmg\9f\92\88\81{tmg\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\92\88\85{tqg\9f\95\8b\81{tqj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{wqj\9f\95\8b\85{wqj\9f\95\8b\85~wqj\9f\95\8e\85{wqj\9f\95\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8b\85~wqj\9f\95\8e\85~wqj\9f\98\8b\85~wqj¢\95\8e\85~wqjÝÓƼ¶¬¥©ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøñÿÿÿûîçÝÓþôëÝÓÐüîá×Êü³¬á×ÆÀ¶¯©\9f×Ðù¯©¢\9cÓÍÀ¹¬©\9f\98ÓÊÀ¶¬¥\9f\95Óʼ¶¬¢\9f\98ÓƼ³©¢\9f\95Óƹ³©¢\9c\92Íƹ¯¥\9f\98\8eÊÀ¶¬¢\9f\92\8eƼ³©\9f\9c\92\8bù¯¥\9f\95\8e\88À¹¬¢\98\92\8b\85¼¹©¢\98\92\88\85¼³©\9f\95\8e\85\81¹³¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¶¬¢\9c\8e\8b\85{¶¬\9f\98\8e\88\81{³©\9f\95\8b\85~{¶©\9f\92\8b\85~w¯©\9f\92\88\85~w¯¥\9c\92\88\85~t¬¥\9c\92\88\85{w¯¢\98\92\88\81{t¬¢\95\92\85\81{t¬¢\95\8e\85\81wt©¢\95\8e\85~{q¬\9f\98\8b\85~wq©\9f\95\8e\85~wq©\9f\92\8b\85~wq©\9f\92\8b\81~wm¥\9f\95\8b\85{tm©\9f\92\8b\81{wm¥\9f\92\8b\85{tm¥\9c\8e\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qj¥\9f\8e\88\81{qm¢\9f\92\88~{qm¢\9c\8e\85~wtj¢\9c\8e\85~wqj¥\9f\8e\85~wqm¥\9c\8e\88~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9f\8e\85~wqj¢\9c\8e\85~wmj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8b\85~wmj¢\9c\8b\85~wmj¢\9c\8e\85~wqj\85~tjd`ZSMF<62/,%\1f\e\15\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 4\ 196/,%\1f\1f\18PIC962/,]ZPIC?96j`ZPIF?9jdZSPMF<mg]VPMF?mg]VPMFCqj]VPMICqjdZSPICwjd]VPMFwqg`ZSPI{tjd]VPI~wjg]ZSP\85wmj`]VP\85{qjd]ZP\85~tmg`]S\88~tmg`]V\88\85wmj`]V\8b\85{qjd]Z\8b\85{qjg`Z\8e\85{tjj`]\8e\85~tmj`]\92\88~tmjg]\92\88\81wqjg]\95\88\81wmmg`\92\88\81{qmg]\95\8b\85{tjg`\95\8b\85{tmj`\98\8b\85{tmj`\98\8e\85~tmjd\98\8e\85~wmjd\9c\8e\85~wqjd\9c\8e\85~wqjg\9c\92\85~wqjg\9c\92\88\81wqmg\9c\92\88\81wtmg\9f\95\88\81wtmj\9f\92\88\85{tmg\9f\92\8b\85{tmj\9f\92\88\81{tmj\9f\92\88\85{tmg\9f\92\88\81{tmj\9f\92\8b\85{tqj\9f\95\8b\85~tqj\9f\92\8b\81{tmj\9f\95\8b\85~tqj\9f\92\8b\85~tqj\9f\95\8b\85{wmj\9f\95\8b\85~wqj\9f\95\8b\85{wqj\9f\98\8b\85~wqj\9f\95\8b\85{wqj¢\95\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8e\85~wqj\9f\95\8e\85~wqj\9f\95\8e\85~wqj\9f\95\8b\85{wqjÝÓƼ¶¯©¶ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿøîÿÿÿøîçÝÓþôçÝÓÍƼîáÓÊù³©ÝÓƼ¶¯©¢×Íù¯©¢\9cÓÊÀ¶¯¥\9f\98×ʼ¶¬¥\9f\95Óʼ¶¬¢\9f\95ÓƼ³¬¥\9f\92Ðƹ³©¢\9c\92Íù¯¥\9f\95\8eÊÀ¶¬¢\9f\95\8bƼ¯©\9f\98\92\88ù¯¥\9f\98\8e\88À¶¬¢\9c\92\8b\85À³©\9f\95\92\88\85¼³©\9f\98\8e\88\81¹¯¥\9c\92\8e\85~¹¬¢\9c\92\88\85~¶¬¢\98\92\88\85{³¬\9f\95\8e\85\81{³©\9f\92\8e\88\81w³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85~w¬¥\9c\92\88\85{w¯¥\9f\8e\88\81{t\92\85~tmj`]VPF<92/(%"\18\11\ e\v\a\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0,"\e\15\ e\v\ 4\ 4?62,("\1f\18SIC962/(`ZPF?<66g]VPIC<9j`ZSPFC<jd]SPFC<mg]VPIF?mg]VPMF?qj`ZSPFCtjg]VPMFwmj]ZSPF{tj`]VPM\81wmg]ZVP\81{qj`]VP\85{qjg]ZS\85~tjg`ZS\85~wmj`]V\88\81wqjd]Z\8b\85{qjg]Z\8b\85{qjg`Z\8e\85{tmj`]\8e\85~tmj`]\92\88~tqjg]\95\88\81wqjg`\92\88\81wqjg`\92\88\81{tjggÓƼ³¬¢\9f¶ÿÿÿÿÿÿûôÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûîäáÓÍøîáÓÊƼ¶çÚÍƹ¶¬¥×Íù¯¬¢\9fÓƼ³©¥\9f\98Ðƹ³©¢\9c\92Ðƹ¯©¢\98\92Íƹ¯¥¢\9c\92Ðƹ¯¥\9f\95\92Êù¬¥\9f\95\8eÊÀ¶¬¢\9f\95\8bƼ³©\9f\98\92\88ù¯¥\9f\98\8e\88À¶¬¢\98\92\8b\85¼³©\9f\95\92\85\85¹³¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85~¹¯¢\9c\8e\88\85~¶¬\9f\9c\8e\88\85{¶¬\9f\98\8e\88\81w³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85~t¯¥\9c\92\88\85{t¬¢\98\8e\85\81wt¬¢\95\8e\88\81{q¬¢\95\8e\85\81{q©¢\95\8b\85~wq©\9f\92\8b\85~tq©\9f\92\8b\85~wq©\9f\95\8b\81~wq¥\9f\92\88\85~wq©\9f\95\88\85{tm¥\9f\92\88\81~tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¢\9f\8e\88~{tm¢\9c\8e\85\81{qm¥\9c\8e\88~wtj¢\9c\8e\85\81wtm¥\9c\8e\85~{tm¢\9c\8e\85~wqj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85\81wqj¢\9c\8e\85~wqj¢\9c\8e\85{wqj¢\9c\8b\85~wqj¢\9c\8e\85~wqj¢\9c\8b\85~wmj¢\9c\8b\85{wqj¢\98\8b\85{wqj¢\9c\8e\85{wmj¢\98\8e\85{wqj¢\98\92\85~wqj¢\98\8b\85{wmj\85{tjd]ZPMF<62/(%\1f\18\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\18\11\v\a\ 4\ 1\092,("\1f\18\15PF?96/,(`VPI?<66j]VPFC?9jdZSPFC?md]SPIC?mg]SPIF?mj]ZPMFCqj`ZSPICtmg]VPMFwmj`ZSPI{qjd]VSM~tjg]ZSP\81wmj`]VP\85{tjd]ZS\85~qjg]ZS\88~tmj`]S\88\81wmj`]V\88\85wqjd`V\8e\85{qjg`Z\8e\85{tmg`]\8e\85~wmjd]\92\88~wmjd]\92\88~wqjg]\92\88\81wqjg`\95\8b\85{qmg`\95\8b\81{tmj`\95\8b\85{tmj`\98\8e\85{tmj`\9c\8e\85{tqjd\9c\8e\85~tmjd\9c\8e\85~tqjg\9c\92\85~wmjd\9c\92\88~wqjg\9c\92\88~wqjg\9c\92\88\81wqjg\9c\92\88\81wtjg\9c\92\88\81wqjj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\88\81{qmg\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\92\88\85{tqj\9f\95\8b\81{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{wqj\9f\95\8b\85{tqj\9f\92\8b\85{tqj\9f\95\8b\85~tqj\9f\95\8b\85~wqj\9f\95\8e\85{wqj\9f\98\8b\85{wqj\9f\95\8e\85~wqj\9f\95\8b\85{wqwÝÓƼ¶¬¥ÓÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþûîçÝÓþôçÝÓÍƼëáÓÍÀ¹³¬ÝÓƼ¶¯©\9f×Íù¯©\9f\9cÓÊÀ¶¬¥\9f\95Óʼ³¬¥\9f\95ÓƼ³¬¢\9f\98ÓƼ³¬¥\9f\95Ðƹ³©\9f\9c\92Íù¯¥\9f\98\92ÆÀ¶¬¢\9f\92\8bƹ³©\9f\9c\8e\8bù¯¥\9f\95\8e\85À¶¬¢\9c\92\8b\85¼³©\9f\95\8e\88\81¹¯¥\9f\92\8e\85\81¹¯¢\9f\92\8b\85~¶¬¢\9c\8e\8b\85~¶¬\9f\9c\8e\88\81{³©\9f\95\8e\88\81{³©\9f\95\8e\85\81w³©\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9c\92\88\85{t¬¥\9c\92\88\85{t¬¢\95\8e\88\81{t©¢\95\8e\85\81wt¬¢\95\8e\85\81wq©\9f\98\8e\85~wq©\9f\92\8b\85\81wq©\9f\92\8b\85~tq¥\9f\92\8b\85~tq¥\9f\92\8b\85{tq¥\9f\92\88\81{tm¥\9f\92\88\81~tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtj¥\9f\8e\88\81{qm¢\9c\92\88\81wqm¢\9f\8e\88~{qm¢\9c\8e\88~wqj¢\9c\8e\85~{qj¢\9c\8e\88~wqj¢\9c\8e\85~wtj¥\98\8e\85~wqj¢\9c\8e\85{wqj¢\9c\8e\85~{qj¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\9c\8b\85~wqj¢\9c\8b\85{wqj¢\98\8b\85~wqj¢\9c\8b\85{wmj¢\9c\8e\85{wqj¢\98\8b\85~wmj\85{qjd`ZSPF<62/,(\1f\e\15\ e\a\a\ 4\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\v\a\ 4\ 196/("\1f\e\18PI?962/,]ZPFC<96g]ZPMF?9j`]SPFC<jd]SPIC?mg]VPIFCqj]VPMF?qj`ZSPICtjd]SPIFwmg`VSPF{qj`ZVPM~tjd]ZSP\81wmg`ZVP\85{qjd]ZP\85{tmd]ZS\85~tmg`]V\88~wmj`]V\88\81wqjd]Z\8b\85{qjg`Z\8e\85{tmg`Z\8e\85{tmj`]\8e\85~tmj`]\92\85~wqjd]\92\88\81wqjd]\92\88~wqjg`\95\8b\81{qmg`\95\8b\81{qmgd\95\8e\85{tjjd\98\8e\85{tmjd\98\8e\85~tqjd\98\8e\85~tqjg\9c\8e\85~wqjg\98\8e\85~wqjg\98\92\88~wqjg\9c\92\88~wqjj\9c\92\88\81{qmg\9c\92\88~wtmj\9f\92\88\81{tmj\9f\92\88\81wtmj\9f\95\88\81wtmj\9f\92\88\81{tqj\9f\92\88\81{wmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\8b\85{wmj\9f\95\88\85{tmj\9f\92\8b\81{tmj\9f\95\88\85{tqj\9f\95\88\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85~tmj\9f\95\8b\85~wqj\9f\95\8b\85~wmj\9f\95\8b\85~wqj\9f\95\8b\85{wqj\9f\95\8b\85~wq\88ÝÓƼ³¬¥çÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþøîäÝÓûôçÝÓÍüîáÓÊÀ¹³¬ÝÓƼ¶¯¥\9f×ÊÀ¹¯©¢\98Óʼ¶¬¥\9f\98Óʼ¶¬¥\9f\95ÓƼ¶¬¥\9f\95ÓƼ³¬¢\9f\95Ðƹ¯©¢\9c\92ÊÀ¶¯¥\9f\95\8eƼ³¬¢\9c\92\8eƼ¯©\9f\9c\92\88ù¬¥\9c\95\8e\85À¶¬¢\95\95\88\85¼³©\9f\95\8e\88\81¹¯¥\9f\95\8e\85\81¹¯¢\9c\92\8b\85~¶¬¢\9c\92\88\85{³¬\9f\98\8e\88\85{³©\9f\98\8b\88\81{¯©\9f\92\8b\85~w¯©\9f\92\88\85~w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¬¥\98\92\88\85{t¯¢\95\8e\85\81{t¬¢\98\8e\85\81{t©¢\95\8e\85\81wq©\9f\95\8e\85~wq©\9f\95\8b\85~wq©\9f\92\88\85~wq©\9f\92\88\85~tq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\85\81{tm¥\9c\92\88\81{qj¢\9c\8e\88\81{tj¢\9f\8e\85~{qm¥\9f\8e\88~{qj¢\98\92\85~{qj¥\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wmj\9f\98\8b\85~wqj¢\98\8b\85{wqj\9f\98\8b\85~wqj\9f\98\8b\85~wmj¢\98\8b\85~wqj\9f\98\8b\85~wqj\85~qjd]ZSMF<6//,%\1f\1f\15\ e\ 4\ 4\ 4\ 1\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\11\ e\a\ 4\0\ 192,("\1f\e\18PI?92/,,]VPF?966g]VPFC?9j`ZPMF?<jdZSPIC<jd]VPIC?mg]ZPMFCqj`ZPPFCtj`]VPIFwmg]ZSPI{qj`ZVPI~tmd]ZSP\81wmj`ZVP\85{qj`]VS\85{tjd]ZS\85~tmg`]V\88~wmg`]Z\88\81wmjd]Z\8e\81wqjg`Z\8e\85{tmg`]\8e\85{tmg`]\8e\85~tmjd]\92\88~wmjd`\95\88~wmjg`\95\88\81wqmg`\95\88\81{qjjd\95\8b\85{qmjd\95\8b\85{qmjd\98\8b\85{tmjd\98\8e\85{tqjg\95\92\85~tqjg\98\8e\85~wqjj\95\8e\85~wqjj\9c\8e\88~wqmj\9c\92\85~wtmj\9c\8e\85~wqjj\9c\92\88~wqjj\9f\92\88\81wqmj\9c\92\88\81{tmj\9f\92\88\81wtmj\9f\92\88\81{tmj\9f\92\8b\81{qmj\9f\92\88\85{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\8b\81{tqj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wqj\9f\92\88\85{tmj\9f\92\8b\85{tmj\9f\92\8b\85~tm\9cÝÐƼ³¬¥ûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîçÝÓþñçÝÓÊùëÝÓÆÀ¹³¬ÝÓƼ¶¯¥\9f×ÍÀ¹¯©\9f\9cÓƼ¶¬¥\9f\98ÓƼ¶¬¥\9f\95ÓƼ³¬¢\9f\95Ðƹ³¬¢\9c\95Íƹ¯¥\9f\98\92Êö¬¥\9f\95\8eƼ³©¢\9c\92\8bƹ¯¥\9f\98\92\88ù¬¢\9f\95\8e\88À¶©¢\9c\92\8b\85¹³©\9f\95\92\88\85¹¯¥\9f\92\8e\85~¹¯¢\9f\95\8b\85\81¹¯¢\98\8e\8b\85~¶¬\9f\98\8e\88\81~³¬\9f\95\8e\88~{³©\9f\92\8b\85~{³©\9f\92\8b\85~w¯¥\9c\92\8b\85~w¬¥\9c\92\88\81{t¬¢\9c\8e\88\81{t¬¢\98\8e\85\81{t\8e\85{tjj`]SMC<62/,%\1f\18\11\ e\a\v\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\e\15\ e\v\a\v?6/,("\1f\1fSIC962//]VPF?966g]SPFC<9j`VPMF??jdZSMICCmd]SPIC?mg]VPMFCqj]ZSPIFtmd]VPMMwmg]ZSPM{tj`]VPP~tjd`ZSS\81wmj`]SS\85{qjd]ZV\85{tjg`ZZ\88~tmg`]Z\88\81wmjd]Z\8b\81wmjg]]\8b\81wqjg`]\8e\85{tmj`]\8e\85~tmg``\92\85~tmj``\92\88~wmjdd\92\88\81wqjgd\92\88\81wqjg\9cÓƼ³¬¢\9fûÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñäÿÿûîçÝ×ÍøîÝÓÊü¶ä×Íù³¬¢ÓÍÀ¹¯©\9f\9cÐƹ³©¢\98\95Íù¯¥\9f\98\92Íƹ¯¥\9f\98\92Ðù¯©\9f\95\92Íù¬¥\9f\95\8eÊÀ¶¬¥\9f\95\8eʼ³¬¢\9c\92\8eƼ¯¥\9f\95\8e\88ù¬¢\9c\95\8b\88À¶¬\9f\95\92\88\85¹³¥\9f\98\8e\88\85¹¯¥\9f\92\8e\85~¹¯¢\9c\92\8b\85~¶¬\9f\98\8e\88\81{¶¬¢\95\8e\88\81{³©\9f\95\8e\85\81{¯©\9f\95\88\85\81w¯¥\9f\92\88\85{w¯¥\9f\92\88\85{w¯¥\9c\8e\88\85{w¬¢\9c\92\88\81{t¬¢\98\8e\85\85{t¬\9f\98\8b\85\81wq\8e\85{qjg`]SPC<66/,(\1f\18\15\ e\v\ 4\ 4\a\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0(\1f\e\11\ e\v\ 4\11<6/,%"\1f%PM?96///]VPF?966d]SMFC<?j`ZPIF??j`ZSPFC?jdZSPICCmg]VPICFqj`ZPPFItjdZSPIMwmg]VSPP{qj`]SPP{tjd]ZSS\81wmg]ZVV\85{qj`]VV\85{qj`]ZZ\85~tjg`]]\88~wmj`]]\88\81wmjd]`\8b\85{qjd]]\8e\85{tjg``\8e\85{tmj``\8e\85~tmj`d\92\88~tmjdg\92\88\81wqjgg\92\88\81{qjg¢Ðƹ³©¢\9cþÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûîçÝÓÍôëÝÓÊù¶á×Êù³©¢ÓÊÀ¹¬©\9f\9cÐƹ³©¢\9c\95Êƹ¯¥\9f\98\95Íù¯¥\9f\95\92Ðƹ¯¥\9f\95\92Êö¬¥\9f\98\92ÍÀ¶¬¥\9f\95\8eƼ³©¢\9c\92\8eƹ³©\9f\95\92\88ù¬¢\9f\92\8e\88¼¶¬¢\98\92\8b\85¼³©\9f\95\8e\88\85¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¶¬¢\9c\8e\8b\85~¶©\9f\98\8e\88\81{³©\9f\92\8b\85~{¯©\9f\92\8b\85~w³¥\9c\92\8b\85{w³¥\9c\92\88\85~w¯¢\9c\92\88\81{w¯¢\95\8e\85\81wt¬¢\95\8e\85\81wt¬\9f\95\8b\85\81wt©¢\95\8b\85\81wq©\9f\95\8b\85~wq¥\9f\92\8b\85{tq¥\9f\92\88\85~tq¥\9f\92\88\81{tq¥\9f\92\88\81{wm¥\9f\92\88\81{tm¥\9c\92\88\81{tq¥\9c\92\88\81{tm¥\9f\8e\88~{tm¥\9c\8e\88~{tm¢\9f\8e\88~{tm¢\9c\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqm¢\95\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85{{qm¢\98\8e\85~wqj¢\98\8b\85{wqj¢\98\8e\85{wqj¢\98\8b\85~wmm¢\98\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8e\85{wqj\9f\98\8e\85~wqj\9f\98\8b\85{wmj¢\98\8b\85{wqj\9f\95\8b\85{wmj¢\9c\8b\85{wmj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\85{qj`]VPIC<6//(%\1f\18\11\v\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\1862,%\1f\1f\18,PF?62/,6]SPF?96<g]VPFC<Cj`ZSMF?FjdZSPFCFmd]SPICFmg]VPMFMmj`ZSPFPqm`]SPMPwmg]VSPS{qjd]VPV~tjd`VSZ\81wmj`]V]\85{mj`]Z]\85{qjg]Z`\85~tjg`]`\88~tmjd]d\8b\81wmjd]g\8b\85{mjg`g\8b\85{qjg`j\8b\85{tmj`j\8e\85~tmj`j\92\85~wqjdj\92\88~wqjdm\92\88~wqjdm\95\88\81wqjgm\95\8b\81wtmgq\95\8b\85{qmgq\95\8b\85{tmjq\95\8b\85{tmjt\98\8e\85{wmjt\9c\8e\85~tmjt\9c\8e\85~tmjt\98\8e\85~wqjw\9c\92\85~wqjt\9c\8e\85\81wtjt\9c\8e\85~wqjw\9f\92\85\81wqjw\9c\92\88\81wqjw\9c\92\88\81{qjw\9f\92\88\81wtmw\9f\92\88\81wtmw\9f\95\88\81{tmw\9f\95\8b\81{tmw\9f\92\88\85{tm{\9f\92\88\81{tm{\9f\92\8b\81{tm{\9f\92\8b\85{tq{\9f\92\88\81{tm{\9f\95\88\85{tm{\9f\95\8b\81{tm{\9f\92\8b\85~tm{\9f\95\88\85{tm{\9f\92\88\85~wq{\9f\95\8b\85~wm~\9f\92\8b\85~wq~\9f\95\8b\85{wq¼ÚÐù³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûøîÿÿþûîäÚÓþñçÝÓÊüëÝÓÆÀ¹³¬ÝÓƼ¶¯©¢×ÍÀ¹¯©\9f\9fÓʼ¶¬¥\9f\9fÓƼ¶¬¥\9f\9cÓƼ¶¬¢\9f\9cÓƹ³©¢\9f\9cÐù¯¥\9f\9c\98Íù¬¢\9f\95\95ʼ³©¢\9f\92\8eƹ¯¥\9f\95\8e\8eù¬¢\9c\92\8e\88À³©\9f\98\92\8b\88¹³©\9f\98\8e\88\85¹¯¥\9c\95\8b\85\85¹¯¥\9c\92\8b\85\81¹¬¢\98\92\88\85\81¶¬\9f\98\8e\88\81~³©\9f\95\8e\85\81~³¥\9f\92\8b\85~{¯¥\9c\92\88\85~{¯¥\9c\92\88\85{{¬¢\98\8e\88\85{w¬¢\9c\92\88\81{w¬¢\98\8e\85\81{w¬¢\95\8b\85~ww¬\9f\95\8b\85~wt©\9f\95\8b\85~wt©\9f\92\8b\85~wt©\9f\92\88\85~wt¥\9c\92\88\81{tq¥\9f\92\88\81{tq¥\9f\92\88\81{tq¢\9c\8e\88\81{tq¥\9c\8e\85\81wqq¥\98\8e\85\81wqq¥\9c\8e\85\81{tq¢\9c\92\85~{tm¢\9c\8e\85~wqm¢\9c\8e\85~wqm¢\9c\8e\85~wqq¢\98\8b\85~wqq¢\98\8e\85~wqm¢\98\8e\85~wqm¢\95\8e\85~wmm¢\9c\8b\85{tqm¢\95\8b\85{wmm¢\98\8e\85~wqm¢\9c\8e\85{wmm\9f\95\8b\85~wmm¢\95\8e\85{tqm\9f\95\8b\85{tqm¢\95\8b\85{wmm\9f\98\8b\85{tmm\9f\95\8b\85{tmm\9f\95\8b\85{tmj\9f\95\8b\85~tqj\85{qjd]ZPMC<6//(%\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\ 4\1f\18\11\v\a\ 4\ 1%92,%"\1f\189PF?92/,F]SMF?<6Mg]VPIC?Pj`ZSMFCPjd]SMICPj`]SPICSmg]ZPMFSqg]ZSPFZtjd]VPM]tmg]ZSP`{qj`ZVP`{tjd]ZSg\81wmg`ZVg\81wmj`]Zm\85{qjd]Zm\85{qjg`Zm\88~wmg`]q\88~wmjd]q\8b\81wqjd]t\8b\85{qjg]t\8e\85{qmg`w\8e\85{tmj`w\8e\85~tmj`w\92\88~wmjd{\92\88\81wqjg{\92\88\81wtjg~\92\88\81wqjg~\95\8b\85{tjg~\95\8b\85{tmj\81\95\8b\85{tmj\81\95\8e\85{tmj~\98\8e\85{wmj\85\98\8e\85~tqj\81\98\8e\85~wqj\81\98\8e\85~wqj\85\9c\8e\85~wqj\85\9c\92\88~wqj\85\9c\92\85~{tm\85\9c\92\88~wqj\85\9c\92\88\81wtm\85\9c\92\88\81wtm\85\9c\92\88\81{qm\85\9f\92\88\81wtm\85\9f\92\88\81wtm\85\9f\95\88\81{tm\85\9f\92\88\85{tm\88\9f\92\88\81{tm\85\9f\95\88\81{tm\88\9f\92\88\85{tm\88\9f\95\88\81{tm\88\9f\92\88\85{tm\88\9f\92\88\85{tm\88\9f\95\8b\85{tm\88\9f\92\88\85{tm\8b\9f\92\88\85{wm\8b\9f\95\88\85{tm\88\9f\95\8b\85{tmÓÚÐù³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôñÿÿþøîäÝ×ûñäÝÓÊÀÀëÝÓÆÀ¹³³ÝÐƼ¶¯¥¬ÓÊÀ¹¯©\9f©ÓƼ¶¬¥\9f¥ÓƼ³¬¥\9f¥ÓƼ³¬¢\9f¥Ðƹ³©¢\9c¢Ðƹ¯¥¢\98\9fÊÀ¹¬¥\9f\95\9cƼ³©¢\9c\92\9cƹ¯¥\9f\98\8e\95ù¬¢\9c\95\8b\92À³©\9f\98\92\88\8e¼³¥\9f\95\8e\88\8b¹¯¥\9f\92\8e\85\8b¹¬¢\9c\92\8b\85\88¹¬¢\98\8e\88\85\88¶©\9f\98\8e\88\81\85³©\9f\95\8e\85\81\85¯¥\9f\92\8b\85~\85¯¥\9c\92\88\85~\81¬¥\9c\92\88\85{\81¬¢\98\8e\88\85{\81¬¢\98\8e\85\81{~¬\9f\98\8e\85~{~©\9f\95\8b\85\81w~©\9f\92\8b\85~w~©\9f\95\8b\85~w~©\9f\92\8b\85~w{©\9f\92\8b\85{t{¥\9f\92\88\85~t{¥\9f\92\88\81{t{¥\9f\92\88\81{tw¥\9f\92\85\81{t{¥\9c\8e\88\81wqw¢\9c\8e\88~{tw¢\9c\92\85\81wqw¢\98\8e\85~wqw¢\9c\8e\85~wqw¢\98\8e\85~wqw¢\98\8e\85~wqw\9f\95\8e\85~wmw¢\95\8e\85~wqw¢\98\8b\85{wqw¢\98\8b\85~wqt¢\98\8b\85{wmw¢\98\8e\85{wqw\9f\98\8b\85~wmw¢\98\8b\85~wmw\9f\95\8b\85~wmt\9f\95\8b\85~wmt\9f\98\8e\85{tqt\9f\95\8b\85{tmt\9f\95\8e\85{tqt\9f\95\8b\85{wmt\9f\95\8b\81{tmt\9f\95\8b\85{tmj\85{qjg]ZPMC<62/,%\1f\18\11\ e\a\ 4\ 1\0\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\18\1f\e\15\11\v\a\ 4296/("\1f\eIPI?96//S]VPF?<6Zg]VPFC?]j`ZPMF?]j`]SMIC]jd]SPFC`mg]SPIFdqg`ZPPFgtj`ZSPIjwmg]VSPm{qj`ZSPq~tjd]VPt\81tmj`ZSw\81wmj`]V{\85{qjg]Z{\85{tjg]Z{\88{wmg`]~\88\81wqj`]\81\88\81wqjd`\81\8b\85wqjg`\85\8e\85{tjg`\85\8e\85~tmg`\85\8e\85~tmjd\88\92\85~wqjd\88\92\85~wqjg\88\92\88\81wqmg\88\92\88\81wqjg\8b\95\88\85{qmj\8b\95\8b\85{tmj\8e\95\8b\85{tmj\8e\98\8b\85{tqj\8b\95\8e\85~tmj\8e\98\92\85~tqj\8e\98\8e\85~wqj\8e\98\8e\85~tqj\8e\9c\8e\88~wqj\92\9c\8e\85~wqj\92\9c\92\85\81wtm\92\9c\92\85~wtm\92\9c\92\85~wtj\92\9c\92\88\81wtm\92\9c\92\88~wqm\95\9c\92\88\81wtm\95\9c\92\88\81wqm\95\9c\92\88\81{tm\95\9f\92\8b\81{tm\95\9c\92\88\81{tm\98\9f\92\88\81{tm\98\9f\92\88\81{tm\98\9f\92\88\81{tm\98\9f\92\88\81{tm\98\9f\92\88\81{tq\9c\9f\92\88\85{tm\98\9f\92\88\85{tm\98\9f\95\88\81{tq\98\9f\92\88\85{tm\98\9f\92\88\85{tmÝÚÐù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôûÿÿþøîçÚÝûñäÝÓÊÀÆçÝÓÆÀ¹¯¼ÚÐƼ¶¬¥¶Óʼ¹¬©\9f¹ÓƼ¶¬¥\9f¶Óƹ³©¥\9f³Óƹ³©¢\9c³Óù¯©¢\9c¯Ðù¯¥\9f\98¬ÊÀ¶¬¥\9f\95©Æ¼³©¢\98\92¥Ã¹¯¥\9c\95\8e¢À¶¬¢\9c\92\8b\9f¼³©\9f\98\92\8b\9c¹¯¥\9f\95\8e\88\9c¹¯¢\9c\92\8b\85\9c¶¬¢\98\92\8b\85\95¶¬\9f\98\8e\88\81\95³©\9f\95\8e\88\81\92³©\9f\92\8e\85~\92¯©\9c\92\8b\85~\8e¯¥\9f\92\8b\85~\92¯¢\9c\92\88\85{\92¯¢\9c\8e\85\81{\8e¬¢\98\8e\85\81{\8b¬\9f\95\8e\85\81{\8b¬\9f\95\8b\85~w\8b©¢\95\8b\85~w\88©\9f\92\8b\85{w\88©\9f\92\88\85{w\88¥\9c\92\88\81{t\88¥\9c\92\88\81{t\88¥\9f\92\88\81{q\85¢\9c\92\88\81{q\85¥\9c\8e\88\81{t\88¥\9c\8e\88\81wt\85¢\9c\8e\85~wt\85¥\9c\8e\85~wq\85¢\98\8e\85\81wq\85¢\98\8e\85~wq\85¢\98\8e\85~wq\85¢\9c\8b\85~wq\85\9f\98\8e\85~wq\85¢\98\8b\85~wq\85\9f\98\8e\85{wq\85\9f\98\8b\85~tm\85¢\95\8e\85~wq\85\9f\95\8b\85\81wq\85¢\95\8b\85{tq\85¢\95\8b\85~wq\85\9f\98\8b\85{wm\85\9f\98\8b\85{wq\85\9f\95\8b\85{tm\81\9f\95\8b\85{tq\85\9f\98\88\85{wm\81\9f\95\8b\85~wq\85\9f\95\8b\85{tm\81\9f\95\8b\85{tmm\85{qjd`ZPMC<62/,%\1f\18\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\1f\1f\18\11\v\ 4\ 4\ 1<6/,%"\1f\18SPF<62/,`]SMC?96gg]SPIC<jj]VPMFCjjdZSPFCjjd]SPICmmd]SPICmmj]ZSMFttj`ZSPIwtjg]VPM{wqj`]SP~{qjd]VS\81~tmg`ZS\85\81wqj`]S\85\85{qjd]Z\88\85{tjg]Z\88\85~tmg`]\88\88~tmjd]\8b\88\81wqjg]\8b\8b\85{qjg`\92\8e\85{qjg`\8e\8e\85{tmj`\92\8e\85{tmjd\92\92\85~wmjg\92\92\88~wqjg\95\92\88\81wqjg\95\92\88\81{qmg\98\92\88\81wtjj\95\95\8b\85{tmj\95\95\88\85{tmj\98\98\8b\85{tqj\9c\95\8e\85{tmj\9c\98\8e\85~tqj\9c\95\8e\85~wqj\9c\9c\8e\85~wqj\9f\98\8e\85~wqj\9f\98\92\85~wqj\9f\9f\92\85~wqj\9f\9c\8e\85~wqm\9f\9c\92\88~wqj\9f\9c\8e\88\81wqj¢\9f\92\88\81wtj\9f\9f\92\88\81wtm¢\9c\92\88\81{qm¢\9c\92\88\81{tm¢\9f\92\88\81{tm¢\9f\92\88\81{tm\9f\9f\92\88\81{tm¢\9f\92\88\85{qm¢\9f\92\88\81{tm¢\9f\92\88\85{tm¢\9f\92\88\85{tm¢\9f\92\88\81{tm¢\9f\92\88\81{tm¢\9f\95\88\85{tm¢\9f\92\88\81{tm¢\9f\95\88\81{wqäÚÐù³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþôëäÚçûîäÝÓÊÃÓçÝÐƼ¹¯ÊÚÓü³¬¥ÆÓʼ¹¯¥\9fÃÐƼ¶©¢\9fÆÐƹ³©¢\9fÃÐƹ¯©¢\9cÀÐù¯©\9f\98ÀÊù¯¥\9f\98¼ÊÀ³¬¢\9f\95¹Æ¼³©¢\9c\92¶Æ¹¬¥\9f\98\8e³À¹¬¢\9c\92\8e¯À³©\9f\98\8e\88¯¹³¥\9f\95\8e\88©¹¯¥\9c\92\8b\85¬¹¬¢\9c\92\8b\85¥¶¬\9f\95\92\88\81¥³©\9f\95\8e\88\81¢³©\9f\92\8b\85~¢³¥\9c\92\8b\85~\9f¯¥\9c\92\88\85{\9f¯¢\98\8e\88\85{\9f¬¢\95\8e\88\81{\9f¬¢\95\8e\85\81w\9c¬¢\95\8b\85\81w~\8e\85{qjg`ZPIC<62/,%\1f\18\11\v\a\ 4\ 4\ 4\0\0\0\0\0\0\11\ e\a\ 4\0\0\0\0,(\1f\18\11\ e\v\ 4F<6/,%\1f\1fZPF?96//g]SPF?<6jd]SMFC<mj]VPIF?qj`ZSMFCqjdZPPICqmg]SPICwqj]ZSPFwtj`ZSPI~tmg]ZSP~{mj`]VP\85{tjd]ZS\85~tmg`ZV\88\81wqjd]Z\88\85{qjd`Z\8e\85{tmj`Z\8e\88~tmj`]\92\88\81wmjd]\92\88\81wqjd]\95\8b\85{qjd`\95\8b\85{qmj`\98\8e\85{tmg`\98\8e\85~wmjd\9c\8e\85~tmjd\9f\92\88~wqjdÚÐƹ¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿûîäÝÓçøëÝÓÍüÓá×Íù³¬ÊÓʼ¶¯¥\9fÆÐù³©¢\9cÆÊö³©\9f\98ÆÍù¯¥\9f\98ÆÊù¯¥\9f\95ÆÍÀ¶¬¥\9f\95ÃÊÀ¶©¢\9c\92ÀƼ³©¢\98\92¼Æ¹¯¥\9f\95\8e¹À¶¬¢\98\95\8b¶¼³¬\9f\98\8e\88³¹¯¥\9f\92\8e\85³¹¯¢\9c\92\8b\85¯¹¬\9f\9c\92\88\85¬¶©\9f\98\8e\88\81¬³¬\9f\98\8b\88\81©³©\9f\92\8b\85~¥³¥\9c\92\88\85~¥¯¥\9c\92\88\85~¢¬¢\9c\8e\88\81~¢¬¢\98\8e\88\81{¢¬\9f\98\8e\85~{¢¬\9f\95\8b\85~w\9f©\9f\95\8b\85~w¢©\9f\95\8b\85~w\9f¥\9f\92\88\85~t¢¥\9f\92\8b\81{t\9f¥\9f\92\88\81{t\9f¥\9f\92\88\81{t\9f¢\9c\92\88~{t\9f¢\9c\92\88\81{t\9f¥\9c\8e\85\81wt\9f¢\98\8e\85\81wt\9f¢\98\8e\85\81wq\9f¢\98\8e\85~wq\9f¢\98\8e\85~wq\9f¢\98\8e\85~wq\9f¢\98\8e\85~wq\9f\9f\98\8b\85{wm\9c¢\95\8b\85{wm\9c¢\95\8b\85{tq\9c\9f\98\8b\85~tm\9c\9f\95\8b\85~wm\9c\9f\95\8b\85~wq\9c¢\95\8b\85{tm\9c\9f\98\8b\85{tm\9c\9f\98\8e\85~tq\9c\9f\98\8e\85~tm\98\9f\95\8b\85{tm\98\9f\95\8b\85{wm\98\9f\95\88\85{tm\9c\9f\95\8b\85{tm\98\9f\92\8b\85~tm\9c\9f\95\8b\81{tq\9c\9f\95\88\85{wm\98\9f\95\8b\85{wmw\85{qjd]ZSIC962,(%\1f\e\11\ e\v\a\ 4\ 1\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\0,"\e\11\ e\v\a\ 1C92,%"\1f\eZPF?96/,j]VMF?<6qd]SPFC<tj]ZPIC?tj`ZSPFCwj`ZSPFCwjd]SPIC{mg]VPMF~qj`ZSPI\81tmg]VSM\85wqj]ZSP\88{qj`]VP\88~tjg`ZS\8e\81wmj`]V\8e\85{qjd]V\92\85{qjg`Z\92\85~tmj`]\92\88\81wmg`]\95\88\81wmjd]\98\88\85wqjg`\9c\8b\85{qjg`\9c\8e\85{tmg`\9c\8e\85{tmj`\9c\8e\85~wqjd\9f\92\88~wqjg\9f\92\88\81wtjg¢\92\88\81wqjg\9f\92\88\85wqmg\9f\95\8b\81{tmj¢\95\88\85{tmj¢\98\8b\85{tmj¢\98\8b\85~tqj¥\98\8b\85{tmj¥\98\8e\85~tmj¥\95\8e\85~wqj¥\98\8e\85~wqj¥\9c\92\85~wqj¥\98\8e\85~wqj¥\9c\8e\85~wqm¥\9c\92\88~wqm¥\9c\8e\85\81wtj©\9c\92\88~wqj©\9c\92\88\81{qm©\9c\92\88\81{qm©\9f\92\88\81{qm©\9c\92\88~{tm©\9c\92\88\81{tm©\9f\92\88~{tm©\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm¬\9f\92\88\81{tm¬\9f\95\8b\85{tm¬\9f\92\8b\85{tm©\9f\92\88\81{tmçÚÐù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôîäÚûûîäÚÓÆÀççÝÓƼ¹¯áÚÐƹ³¬¥ÚÓƼ¶¬¥\9fÚÓƼ³¬¢\9f×ÐƼ³©¢\9c×Ðƹ³©¢\9f×Ðù¯©\9f\9cÓÍù¯¥\9f\95ÐÊÀ¶¬¢\9f\95ÊƼ³©\9f\9c\95Æù¬¥\9f\95\8eÆÀ¶¬¢\9c\92\8bü³©\9f\95\8e\88¼¹¯¥\9f\95\8e\88¼¹¬¢\9c\92\8b\85¹¶¬¢\95\92\88\85¹³¬\9f\95\8e\88\81¹³©\9f\95\8e\85~¹³¥\9f\92\8b\85\81¶¯¥\9c\92\8b\85~¶¯¥\9c\92\8b\85~¶¬¢\9c\8e\88\85{³¬¢\9c\8e\88\81{¯¬\9f\98\8b\85~w¯¬\9f\95\8e\85~w¯¬\9f\95\8e\85~w¯©\9f\92\88\85~w¯©\9f\92\8b\81~w¬¥\9f\92\88\81~t¬¥\9c\92\88\81{t¬¢\9f\92\88\81{t¬¥\9c\92\85\81wt¬¢\9c\92\85\81wt¬¢\98\8e\85\81wt¬¢\98\8e\85~wq¬¢\98\92\85~wt©¢\98\8e\85~wt©¢\98\8e\85~{q©¢\98\8b\85~wm©¢\98\8b\85~wq¥¢\95\8e\85~wq©\9f\95\8b\85{wq©¢\95\8b\85~wq¥¢\95\8b\85~tq¥\9f\95\8b\85~wq¥\9f\98\8b\85{wq¥\9f\95\8b\85{tm¥\9f\95\8b\85{wq¥\9f\95\8b\85{tm¥\9f\95\8b\85{wm¥\9f\95\88\85{tm¥\9f\92\88\85{tm¢\9f\92\88\85{tm¥\9f\95\88\85{wm¥\9f\95\8b\85{tm¢\9f\95\88\85{tq¥\9f\95\88\81{tm\85\85{qjd]VPIC96/,(%\1f\15\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0(\1f\15\11\v\ 4\ 4\0?6/,%\1f\1f\18ZPF<92/,j]SIC?96qg]SMFC<tj`ZPMF?wj`ZPMICwjdZSPFC{md]VPIC{mg]VPMF~qj]ZSPI\85tjd]VSM\85wqg`ZSP\88{tj`]VP\8b~tjg]ZS\8e\81wmg`]V\8e\85wqjd]V\92\85{qjd`Z\95\88~tmg`Z\95\88~tmj`]\95\88\81wqjd]\98\88\81wqjg`\9c\8b\85{qjg`\9c\8b\85{tmj`\9f\8e\85{tmjd\9f\8e\85~tmjd\9f\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81wtjg¢\95\88\81{qmg¢\92\88\85{tmj¢\95\8b\81{tmj¢\95\8b\81{tmj¢\95\8b\85{tmj¢\95\8e\85~wqj¥\95\8e\85{tqj¥\9c\8e\85~tqj¥\95\8e\85~wqj¥\9c\8e\85~tqj©\9c\8e\85~wqj©\9c\92\85~wqj¥\9f\92\85~wqj©\9c\8e\85\81wtj©\9c\8e\85~wqj©\9c\92\88\81wqj©\9c\92\88\81wqm©\9c\92\88~wqj©\9f\92\88\81wqm©\9f\92\88\81wtm©\9f\92\88\81wtm¬\9f\92\88\81{tm©\9c\92\88\81wtm¬\9f\92\88\81wtm¬\9f\92\88\81wqm©\9f\95\88\81{tm¬\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81{tm¬\9f\92\88\85{tmç×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëáÚÿûîäÚÐÆÀñçÚÐÆÀ¹¯äÚÐƹ³¬¢áÓʼ¶¬¥\9fÝÐƹ³¬¢\9fÝÐƹ¯¬¢\9cÝÐù¯©¢\9fÚÍù¯©\9f\9c×ÍÀ¹¬¥\9f\95×ʼ¶¬¢\98\92Óƹ³¥¢\9c\92Ðù¯¥\9f\95\8eÐÀ¶¬¢\9c\92\8bʼ³©\9f\98\8e\88ƹ¯¥\9f\92\8e\85ƹ¬¢\9c\92\8b\85ù¬¢\98\92\88\85ö©\9f\98\8e\88\85À³©\9f\95\8b\85\81¼¯¥\9f\92\8e\85~¼¯¥\9c\92\88\85~¼¯¢\9c\92\88\85{¹¬¢\98\8e\88\81{¹¬¢\98\8b\88\81{¹¬\9f\98\8e\85\81w¹¬\9f\95\8e\85~{¶©\9f\95\8b\85~w¶©\9f\92\8b\85~w¶¥\9f\92\88\85{t¶¥\9f\92\88\81{t³¥\9f\92\88\81{q³¥\9f\8e\88\81{t³¢\9c\8e\88\81{t¯¢\9c\8e\85\81{q³¢\98\92\85~wq¯¥\95\8e\85~wt¯¢\98\8e\85\81wq¯¢\95\8e\85~wq¯¢\95\8e\85~wq¯¢\98\8e\85~wq¯\9f\98\8e\85~wq¯\9f\98\8b\85~wq¬\9f\95\8e\85~wq¯\9f\98\8b\85~wq¯\9f\95\8e\85{wm¬\9f\92\8b\85~wq¬\9f\95\8b\81{tq¬\9f\92\8b\85{tm¬\9f\95\88\85{tm¬\9f\95\8b\81{tm¬\9f\95\8b\85{wm¬\9f\95\8b\85{tq¯\9f\95\8b\85{tm¬\9f\95\8b\85{tm¯\9f\95\88\85{wm¯\9f\92\8b\85{tm¯\9f\95\88\85{tm¬\9f\92\88\81{tm\8e\81wmj`]VPFC92/,(%\1f\15\ e\v\ 1\ 4\0\0\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0(\1f\15\ e\v\ 4\ 4\0?6/,"\1f\e\18VPF<62/(g]SIF<96qd]SMFC<tj`ZPMF?tj`ZPMF?wj`ZSPFCwjg]SPIC{mg`VPPF~qj`ZSPI\81tjd]VPM\85wmg`ZSP\88{qjd]VP\8b~tmg`ZS\8b\81wqg`]Z\8e\85{qjd]Z\8e\85{qjg]Z\92\85~tmg`]\95\85~tmjd]\95\88\81wmjd]\98\8b\81wqjg`\98\8b\85{tmg`\9c\8e\85{tmj`\9f\8e\85{tmjd\9c\8e\85~tmjd\9f\92\85~wmjgÚÍù³©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÚÓÿôëÝÓÊüîá×Êù³©äÓÊÀ¶¬¥\9fÝÐù¯¥¢\9cÝÍÀ¹¬¥\9f\95ÚÊù¯¥\9f\98ÚÍÀ¹¬¢\9f\98ÚÊÀ¶¬¥\9f\95Úʼ³©¢\9c\95×ƹ³©\9f\9c\92Óù¯¥\9f\95\8eÐÀ¶¬¢\9c\92\8bͼ³©\9f\95\92\88ʹ¯¥\9f\92\8e\85ƹ¯¢\9c\92\8b\85ƶ¬\9f\98\8e\88\85ó©\9f\98\8e\88\81ó©\9f\92\8e\85\81ó¥\9f\92\8b\85~À¯¥\9c\92\88\85{¼¬¥\9c\92\88\85{¼¬¢\98\8e\88\81{¹¬¢\98\8e\85\81{¹©\9f\95\8b\85~w¹©\9f\95\8b\85~w¹¬\9f\92\8b\85~w\98\8b\81{qjd]ZPIC<92/,%\1f\18\15\ e\v\a\v\ 4\ 1\0\0\0\0\0\e\11\v\ 4\0\0\0\0/%"\e\15\ e\ e\aF<6/,%\1f\1f]PF?96/,g]SMF?96m`]SMF?<qg]SPIC?tj`VPIF?wj`ZPMICwjd]SPIC{mg]VPMF~qj]ZSPM\81tjd]VPM\85wqg`ZSP\88{qjd]VP\88~tjg]ZS\8b\81wmg`]V\8e\81wqjd]Z\8e\85{qjd]Z\92\85{tjg`Z\95\88~tmg`]\95\88~wmj`]\95\88\81wmjd]\98\8b\85{qjg`\9c\8e\85{qmg`\9c\8e\85{tmjd\9f\92\85{tmjd\9f\8e\88~wqjg\9f\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81wqmg\9f\92\8b\81{qmj¢\95\8b\85wqmj¢\95\8b\81{tmj¢\95\8e\85{tmj¢\98\8e\85{wmj¢\95\8e\85{tqj¢\98\8b\85~wmj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¥\9c\92\85~wqj¢\9c\8e\85~wqj¥\9c\8e\85\81wqj¥\98\8e\85\81wtj¥\9c\8e\88\81wtm¥\9c\92\85~wtm¥\9c\92\88\81wtm¥\9c\92\88\81{tj¥\9c\92\88~{tm¥\9c\92\85~wtj¥\9c\92\88\81{tj¥\9f\92\88\81{tm©\9c\92\88\81wtm©\9f\92\88\81wtm¥\9c\92\88~{qm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\85{tmä×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôëäÚÿûîäÚÐÊÀøäÚÐƼ¶¯îÚÍƹ³©¥áÓƼ¶¬¥\9fáÐƹ³¬¢\9fáÐƹ³©¢\9cáÐƹ¯©¢\9cÝÍù¯©\9f\95ÚÍù¯¢\9f\95ÚƼ³©¢\9f\92Óƹ¯©\9f\98\92Óù¯¥\9f\95\8eм³¬\9f\9c\92\8bʹ³©\9f\95\8e\88ʹ¯¥\9f\92\8e\85ƹ¬¢\98\92\8b\85ƶ©\9f\98\92\88\85ó©\9f\98\8e\88\81À¯©\9f\95\8e\85\81À¯¥\9c\92\8b\85~À¯¥\9c\92\88\85~¼¬¥\95\92\88\85{¼¬¢\9c\8e\88\81{¹¬¢\95\8e\85\81{¹¬¢\98\8b\85\81w¹¬\9f\92\8e\85~w\9f\8b\81wqjd`ZPFC96//("\1f\15\ e\v\a\ 4\v\ 4\0\0\0\0\0\0\18\ e\a\ 1\0\0\0\0,%\1f\18\11\ e\a\ 4C<6/(%\1f\1fZPF?96/,g]SMC<96mdZPMFC9qg]SPIC?tj`ZPIF?tj`ZPMFCwjd]SPIFwmj]VPMF{qg`ZSMI~wjd]VSM\81wmg`ZSP\85{qjd]VP\85~tjg]ZS\88\81wmj`]V\8e\81wqjd]Z\8e\85{qjg`Z\92\85~tmg`]\92\88~tmjd]\92\88\81wmjd]\95\88\81wqjg`\95\8b\81{qjg`\98\8b\85{tjj`\98\8e\85{tmjd\9c\8e\85{tmjd\9c\92\85~tmjdÚÐù¯©\9f\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿÿøîäÝÓÿôçÝÓÊüñáÓÊÀ¹¯¬äÓʼ¶¬¥\9fÝÍù¯©\9f\98ÚÊÀ¹¬¥\9f\95ÚÊÀ¶¬¥\9f\95ÚÊÀ¶¬¥\9f\95×ʼ¶¬¥\9f\95×Ƽ³©¢\9c\95Óƹ³¥¢\98\92Ðù¯¢\9f\92\8eÍÀ¶¬\9f\9c\95\8eʼ¯©\9f\95\8e\88ƹ¯¥\9f\92\8b\85ƹ¬¢\9c\92\88\85ö¬\9f\98\8e\88\85ó©\9f\95\8e\85~À³©\9f\92\8b\85~¼¯¥\9c\92\88\85~¼¯¥\9c\92\8b\85~¹¯¢\9c\8e\88\81~¹¬¢\98\8e\88\81{¹¬\9f\98\8e\88\81{¹¬\9f\95\8e\85~{¹©\9f\92\8e\85~w¹©\9f\95\88\85~t¶©\9f\95\88\85~w¶¥\9f\8e\88\81~t³¥\9c\92\88\81~t³¥\9c\8e\88~{t³¢\9c\8e\85\81wt¯¢\9c\8e\85~wt³¢\98\8e\85\81wt¯¥\9c\8e\85\81wq¯¢\98\8e\85~tq¯¢\95\8e\85~wq¯¢\95\8e\85~wq¯¢\95\8e\85~wq¯¢\95\8b\85~wq¯\9f\95\8b\85~wq¯¢\95\8b\85{tm¬\9f\95\8e\85~tq¯\9f\95\8b\85{tq¬\9f\95\8b\85{tq¬\9f\92\8b\81{tm¬\9f\92\8b\85~tm¬\9f\92\8b\85{tq¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\95\88\85{tm¬\9f\92\88\85wtm¬\9f\95\88\81{tm¬\9f\92\88\81{tm¬\9f\92\8b\81{tm¬\9f\92\8b\81{qm¬\9f\92\8b\81{tm¬\9f\92\88\81{wm¬\9f\95\88\81wtm\92\81wmj`]VPIC96/,("\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0%\1f\18\11\v\a\ 4\ 1?62,%"\1f\18VMF<62/,g]SIC?<6mg]SMFC<qj`VPMF?tj`ZPMICtj`ZSPICtjg]SPIFwmg]VPPF{qj`ZSPF{tj`]SPI\81wmg]ZSP\85wqj`]VP\85~tjd]ZS\88~wmj`]S\88\85wqj`]V\8e\85{qjd`Z\8e\85~qjg`Z\92\88~tmg`]\92\85~wmjd]\92\88\81wqjd]\95\88\81wqjg`\95\8b\85{qjj`\98\8b\85{tmj`\9c\8e\85{tmjd\9c\8e\85~tmj`\9f\92\85~wqjd\9f\92\88~wqjd\9c\92\88~wqjg\9f\92\88\81wqmg\9f\92\88\81wqmj\9f\95\88\81{tmj\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\98\88\85{tmj¢\95\8e\85~tmj¢\95\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqm¢\98\92\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqm¢\98\8e\88~wqj¢\9c\92\85\81wtm¥\9c\92\85~{qm¥\98\92\88~wqm¢\9c\8e\85\81wtm¥\9c\92\88\81wtm¥\9c\92\88~{qm¥\9c\92\88\81wtm¥\9c\92\88~wtm¥\9f\92\88\81{tm¢\9c\92\85\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tmä×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþñëáÚÿûîáÚÐÊÀôçÝÐƼ¹¬ëÚÐù³¬¢áÓʼ¶¬¥\9fáÐƹ³©¢\9cÝÐƹ¯©¢\9cÝÐù¯©\9f\9cÚÍù¯¥\9f\98ÚÍÀ¶¬¥\9f\98ÓƼ³©¥\9c\92Óƹ³¥\9f\98\92Ðù¬¥\9f\92\8eÊÀ¶©\9f\9c\92\8bʼ³¥\9f\95\8e\88ƹ¯¢\9c\92\8e\85ù¬¢\9c\92\8b\85À¶©\9f\98\92\88\81¼³©\9f\95\8e\85\81¼¯¥\9f\92\8b\85\81¼¯¢\9c\92\88\85~¹¬¢\98\8e\88\85~¹¬¢\98\92\88\81{¹¯¢\98\8b\85\81{¶¬\9f\98\8e\85\81w¶¬\9f\95\8b\85~w¶©\9f\95\8e\85~w³©\9f\92\8b\85~w³¥\9f\92\88\85~t³¥\9f\92\88\85{w³¥\9c\92\88\81{t¯¥\9c\8e\88\81{t¯¢\9c\8e\85\81{q¯¢\9c\8e\85\81{q¯¢\98\8e\85~{q¯¢\98\8e\85~wq¯¢\9c\8e\85~wq¬¢\98\8b\85~wq¬¢\95\8b\85~wm¬\9f\95\8b\85{tq¬\9f\95\8b\85~wq¬\9f\95\8b\85~tq¬\9f\92\8b\85~tm¬\9f\95\88\85~wm¬\9f\95\88\85{tm¬\9f\95\8b\85{wm©\9f\95\8b\81{tm¬\9f\92\88\85{tm©\9f\92\88\81{tm¬\9f\95\88\81{tm©\9f\95\8b\81wtm¬\9f\92\88\81wtm¬\9f\95\8b\85{tm©\9f\95\8b\85{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¬\9f\95\88\81{tm©\9f\92\8b\81{tm\8e\81wqjd]VPIC96//,"\1f\18\15\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0%\1f\18\11\v\ 4\ 4\0<6/,%"\1f\18SMC<62/,d]SMC?96jd]SMFC<mg]VPIC?qj`ZPMF?tj`ZPMFCtj`ZSPFCtmd]VPIF{qj`ZSPI{tjd]VPM\81wmg]ZSM\85{qj`]SP\85~tjd]VS\88\81tmg`ZS\88\81wqj`]V\8b\81{qj`]V\8e\85{qjg]Z\8e\85{tmg`]\8e\85~wmjd]\92\88\81tmjd]\95\8b\85wqjg]\95\8b\85wqjg`\98\8e\85{tmj`\98\8e\85~tmj`\98\8e\85~wmjd\9c\8e\88~wqjd\9f\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\81{qmg\9f\92\8b\81{tmj\9f\92\8b\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85~tmj\9f\95\8b\85~wmj\9f\98\8e\85{wqj\9f\98\8b\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm\9f\98\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85\81{qj¢\9c\8e\85~wqj¥\9c\8e\85~wqj¢\9c\92\88\81wqj¢\9c\92\85~wtj¥\9f\92\88~wtm¢\9c\92\88\81{tj¥\9c\8e\88\81wtm¢\98\8e\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88~{tm¢\9f\92\85\81{tm¥\9c\92\88\81{tm¢\9f\92\88\81{tjä×Êù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëáÚÿûîä×ÐÆÀñçÚÐÆÀ¹¯ä×Íù³¬¢ÝÓƼ³¬¥\9fÚÐƹ³©¢\9cÚÐù¯©¢\9c×Íù¯¥\9f\98×Íù¯©\9f\98Óʼ¹¬¥\9f\95ÐƼ¶©¢\9c\92Ðƹ¯©\9f\98\92ÊÀ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bù¯©\9f\98\8e\88ù¯¥\9c\92\8e\85À¹¬¢\98\92\8b\85¼¶©\9f\95\8e\88\85¼³©\9f\95\8e\85\81¼¯©\9f\92\8b\85~¹¯¥\9f\92\8b\85{¹¯¢\9c\8e\8b\85{¹¬¢\98\8e\88\81{¶¬¢\98\8e\88\81{¶¬\9f\98\8b\85\81{³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯©\9f\92\88\85~t¯¥\9f\92\88\85{t¯¥\9c\92\88\85{t¯¢\9c\8e\88\81{w¯¢\98\92\85\81wq¬¢\98\8e\85\81wt¬¥\98\8e\85\81wt¬¢\98\8e\85\81wq©¢\98\8e\85\81wq¬¢\98\8e\85\81wq¬\9f\95\8e\85~wq©¢\95\8e\85~wq©¢\95\8e\85~wq¬\9f\95\8b\85{tq©\9f\92\8b\85~tm©\9f\92\8e\85{wm©\9f\95\8e\85~tq¥\9f\95\8b\85{tm¥\9f\95\8b\81{tm©\9f\92\8b\85{tq©\9f\92\8b\81{tq©\9f\92\8b\81{tm¥\9f\95\88\81{wm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\95\88\81wtm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\85wtm©\9f\92\88\81{tm©\9f\92\88\85wtm©\9f\92\88\81{tm\8b\85wmg`]VPIC96/,("\1f\18\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 4\0<6/,"\1f\e\18SMC<62/,`]SIC?96j`ZPMF?<mg]VPIC?mj`ZPMF?qj`ZSPF?tj`ZVPICwmd]VPIFwqg`ZSPF~tj`]VPM~wmd`ZSP\85{mj`]VP\85{tjd]ZS\88~tmg]]S\8b\81wmj`]V\8b\81wqjd]Z\8e\85{qjg`Z\92\85~tmg`]\92\88~tmjd]\92\88\81wmjg]\95\8b\81wqjg]\95\8b\85{qjg`\95\8b\85{tjgd\95\8e\85{tmjd\98\8e\85{tmjd\98\8e\88~wmjg\9c\92\88~wqjg\9c\95\88~wqjg\9c\92\88\81wtjg\9f\95\88\81wtmg\9f\95\88\81wtmj\9f\95\8b\81{qmg\9f\92\8b\85{tmg\9f\95\8b\85{tmj\9f\95\8e\85{tmj¢\95\8e\85{wmj\9f\98\8e\85{tqj¢\98\8e\85~tqj\9f\98\8e\85~tqj¢\9c\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¥\9c\8e\85~wtj¢\9c\92\85\81wtj¢\9c\92\85~wtj¥\9c\92\88~{qm¢\9c\8e\88\81wqj¢\9c\92\85\81wtj¥\9c\92\85\81wqm¥\9c\8e\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81wtmá×ÊÀ¹¯¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëáÚþøîáÓÐÆÀîäÚÐü¶¯äÚÊù³¬¢ÚÓƼ³¬¢\9f×Ðù¯©¢\9c×Íù¯©¢\98×Íù¯©\9f\98×Íù¬¥\9f\9cÓÊÀ¶¬¥\9c\95ÓƼ¶©¢\9c\92Ðƹ³¥\9f\98\92Íù¬¢\9f\92\8eÆÀ¶¬¢\9c\92\8bü¯¥\9f\98\8e\88ù¬¥\9c\92\8b\85À¹¬¢\95\92\88\85¼¶©\9f\95\8e\88\85¹³©\9f\95\8b\85\81¹³¥\9f\95\8b\85~¹¯¥\9f\92\88\85~¹¯¢\9c\92\88\85{¹¬¢\98\8e\88\81{¶¬¢\95\8e\88\81{¶¬\9f\98\8b\88~w³©\9f\98\8b\85~w³©\9f\95\8b\85~w\92\8b\81{mjd`ZPIC96//("\1f\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,%\1f\18\11\ e\v\ 4C<6/("\1f\eVPF?96//g]SMF?96j`ZPMF?<mg]VPIF?qj`ZPMF?qj`ZPMFCqjd]SPICwmg]VPPF{qj`ZSPI~tmd]VPP~wqg]]VP\85{qj`]VS\85~tjd]ZS\88~tmg`]V\88\81wqj`]V\8b\85{qjd]Z\8e\85{tjg`Z\8e\85{tmj`]\92\85~tmj`]\92\88~wqjd]\92\88\85wqjg]\95\8b\85wqjg`\98\8b\85{qmg`\98\8e\85{tmj`\9c\8e\85{tmjd×Íù¯¥\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøëäÚÓûôçÝÐÆÀ¹ëáÓƼ¹¯©ÝÓƼ³¬¥\9fÓÍù¯©\9f\9cÓÊÀ¶¬¥\9c\95Óʼ¶¬¢\9f\95Óʼ¶©¥\9f\98Óʼ¶©¢\9c\95ÐƼ³©¢\9c\92Íƹ¯¥\9f\98\92Êö¬¢\9f\95\8eƼ³©\9f\9c\92\88ù¯©\9c\95\8e\88ù¬¢\9f\92\8b\85À¹¬¢\98\92\88\85¼¶©\9f\95\8e\88\81¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\81~¶¬¢\9c\8e\88\85~¶¬\9f\98\8e\88\81{³¬\9f\95\8e\85~{³¬\9f\95\8b\85~w¯©\9f\95\88\85~w³©\9f\92\8b\85{t¯¥\9f\92\88\85{t\92\8b\81wqjd]ZPF?96/,("\1f\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,%\1f\15\11\v\a\ 4C92,("\1f\eVPF<92/,`ZSMC?96j`ZPMC?9mg]SPIC?mg]VPIF?qj`VPMFCqj`ZSPFCwmd]VPMFwqg`ZSPI{tjd]VPM\81tmg]VSP\85{qj`]VP\85{tjd]ZS\88\81tmg`ZS\88\81wmg`]V\8b\85{qjd`Z\8e\85{tjg`Z\8e\85~tjg`Z\92\88~tmjd]\92\88~wmjd]\92\8b\81wqjg`\95\8b\81{tjg`\95\8e\85{tmjd\95\8e\85{tmj`\98\8e\85~wmjdÓÊÀ¹¯¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôëÿÿþôîáÚÓûñçÚÓÊùëÝÓÊÀ¹¯©ÝÓƼ¶¬¥\9f×Íù¬¥\9f\95ÓÊÀ¶¬¥\9f\95ÓÊÀ³¬¥\9f\95ÓƼ³©¢\9f\92ÓƼ³¬¢\9c\92Ðƹ³©\9f\9c\92Íù¯¥\9f\98\92Íö¬¥\9c\92\8bƼ³©\9f\98\92\88ƹ³¥\9f\92\8e\85ù¯¢\9c\92\8b\85À¶¬¢\9c\92\88\85¼³©\9f\95\8e\88\85¹³©\9f\92\8e\85~¹³¥\9f\92\8b\85~¹¯¥\9c\92\88\85~¶¬¢\98\8e\88\85{¶¬¢\98\92\85\85{¶¬¢\95\8e\85~w³©\9f\92\8b\85~w³©\9f\95\8b\85~w¯©\9f\92\8b\85~w¯¥\9f\92\88\85{t¯¥\9c\92\88\85{w¯¥\9c\92\88\81{t¬¢\9c\8e\88~{t¬¢\98\8e\88~{q¬¢\98\8e\85\81wt¬¢\9c\8e\85~{t¬\9f\98\8b\85~{q¬¢\98\8b\85~wq©\9f\98\8b\85~wq¬\9f\95\8b\85{tm©\9f\95\8b\85{wq©\9f\95\8b\85{wq©\9f\95\8b\85{wq©\9f\95\8b\85{tm©\9f\92\88\85{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\95\88\81wtj©\9f\95\88\81{tm©\9f\92\88\81wtm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81wqm¥\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9c\92\88~wtm¥\9f\92\88\81wtj¥\9f\92\88\81wqm¥\9f\92\88\81{tm\88~wmg`]VPI?96/,("\e\11\ e\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\1f\15\ e\v\a\ 4\0<6/("\1f\1f\18SMC<62/,dZPIC<<6j`ZSMF?<mg]VPIF?mj`ZPPFCqj`ZSPFCtjdZSPICtmd]VPMF{mj]ZSPI\81tj`]VPM~wjg]ZSP\85{qj`]VP\85{tjd]ZP\88~tmg`]S\88\81wmj`]V\8b\81{mjd]Z\8b\85{tjg]Z\8e\85{tjg`Z\92\85\81tmj`Z\92\88~wqj`]\95\88\81wqjg]\95\88\81wqjg`\95\8b\81{tjg`\98\8e\81{tmg`\98\8e\85{tmjd\98\8e\85~tmjd\9c\8e\85~wqjd\9c\8e\88~wqjg\9c\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\95\8b\81{qjj\9f\95\88\81{tmj\9f\92\8b\81{tmj\9f\95\8b\81{tqj\9f\95\8e\85{tmj\9f\95\8b\85{tmj\9f\98\8b\85{tqj\9f\98\8e\85{tqj\9f\95\8e\85~tqj¢\95\8e\85~tqj¢\95\8e\85~wqj¢\98\8e\85\81wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\9c\92\85~wtm¢\9c\8e\85~wtm¢\98\8e\85~wqj¥\9c\8e\85~wqm¢\9c\8e\85~wqj¥\9c\8e\88\81wtm¥\9c\8e\85\81{qm¥\9c\8e\88~wtm¥\9c\8e\88~wtmá×Êù¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçä×ÿøîá×ÐƼñäÚÐƼ¶¯ä×Íù³¬¢ÝÓƼ³¬¥\9cÚÍù¯©\9f\98ÚÍù¯©\9f\9cÚÍù¯©\9f\98×ÍÀ¶¯¥\9f\98ÓÊÀ¶¬¥\9f\95ÓƼ³©\9f\9c\95Ðù¯¥\9f\98\8eÊÀ¶¬¢\9c\92\8bƼ³©\9f\98\92\88ù¯¥\9f\92\8e\85ù¯¢\9c\92\8b\85À¹¬¢\98\92\8b\85¼³©\9f\95\8e\88\81¼¯©\9f\95\8e\88~¹¯¥\9c\92\8b\85\81¹¯¢\9c\92\88\85~¹¬¥\98\8e\88\85{¶¬\9f\98\8e\88\85{¶¬\9f\98\8b\85\81{³©\9f\95\8b\85\81{³©\9f\95\8b\85~w³¥\9f\92\8b\85~t¯¥\9c\92\88\85{t¯¥\9c\92\88\81~w¯¥\9c\8e\88\81{t¬¢\9c\8e\88\81{t¬¢\98\8e\85\81{t¬¢\9c\8e\85~wt¬¢\98\8e\85~wq¬¢\98\8e\85~{q¬\9f\95\8e\85~wq¬\9f\95\8e\85~wq©\9f\98\8b\85{wq©\9f\95\8b\85{wm©\9f\95\8b\81~wm©\9f\92\8b\85{wm©\9f\95\88\81{wm©\9f\92\88\85{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tj©\9f\92\88\81wtm¥\9f\92\88\81{tm©\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9f\95\88\81{tm¥\9f\95\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88\81wtj¥\9f\92\88\81wtj¥\9f\92\88\81wtj¥\9f\92\88\81wtm¥\9f\92\88\81{tm\88\81wmg`]VPFC96//("\1f\18\11\v\ 4\ 4\ 1\ 1\0\0\0\0\0\0\0\v\a\0\0\0\0\0\0%\1f\18\11\ e\a\ 4\ 1<62,("\1f\eSPC<66/,dZSMC?96jd]SMF?<mg]VPIC?mj`ZPIF?qj`ZPPFCtj`ZSPICtmg]SPIFwqg]ZSPI{qj`]SPF~tmd]ZSP\81wqg`ZVP\85{tjd]ZS\85~wmg`ZS\88\81wmjd]V\8b\85{qjd]V\8e\85~qjd]Z\8e\85{tmg`]\92\85\81tmjd]\92\88\81wmj`]\92\88\81wmjd]\92\88\85{qjg]\95\8b\85{tjj`\98\8b\85{tjj`\95\8e\85{tmj`\9c\8e\85~tmjd\9c\92\88~wmjd\9c\92\88~wqjd\9c\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\81{tmj\9f\92\8b\81{tmg\9f\95\8b\81{tmj\9f\92\8b\85{tmj\9f\98\8e\85{wqj\9f\98\8b\85{tmj¢\98\8b\85{tqj¢\98\8e\85{wqj\9f\98\8e\85{tqj¢\98\8e\85~tqj¢\95\8e\85~wqj¢\98\8e\88~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\9c\8e\85~wqm¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wtm¢\9c\92\85~wqj¢\9c\8e\85~wqm¢\9c\8e\85~wtm¥\9c\8e\85\81wqj¢\9f\8e\85~wqj¢\9c\8e\88\81wqj¢\9c\92\85~wqmáÓÆÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûôçá×ÿøîá×ÍƼîä×ÍƼ¶¯ä×Êù³¬¢ÝÓƼ³¬¥\9fÚÐù³©¢\98ÚÍù¯©¢\9c×ÊÀ¶¯¥\9f\98×ÊÀ¹¬¥\9f\98Óʼ³¬¢\9f\95Óƹ¯©\9f\9c\92Íù¬¥\9f\98\8eÊÀ¶©¢\9c\92\8bƼ¯©\9f\98\92\8bƹ¯¥\9f\95\8e\85ù¬¢\9c\92\8b\85À¶©\9f\98\92\8b\85¼³¥\9f\95\8e\88\81¹¯¢\9f\92\8b\85~¹¯¢\9c\92\8b\85~¹¯¢\9c\92\88\85~¹¬\9f\9c\8e\88\85{¶¬\9f\95\8e\88\81{¶¬\9f\95\8e\88\81w³©\9f\95\8e\85~w³©\9f\95\8b\85~w³©\9c\92\88\85~w³¥\9c\92\88\85{t¯¥\9c\92\88\81{t¯¥\9c\8e\88\81{t¯¥\9c\92\88\81wt¬¢\98\8e\85\81wt¯¢\95\8e\85~{t¬¢\98\8e\85~wq¬\9f\92\8b\85~wq©\9f\95\8b\85~wq¬\9f\95\8b\85{tm©\9f\95\8b\85{wq©\9f\92\8b\85{wm©\9f\95\88\85{wm©\9f\92\8b\81{tm©\9f\92\88\81{wm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{wm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9f\8e\85\81wtm¥\9f\92\88\81{tj¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\85\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm\88\81wmgd]VPIC96//("\e\15\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/("\1f\e\15SI?<62/,`ZPIC<66j`ZPICC9mg]VPIC<mg]VPMF?qj`ZSMF?qj`ZSPICtmd]SPICwmg`VPPFwtj`ZVPM~tmg]VSP\81wmj`ZVP\85{qjd]VP\85~tjd]ZS\88~wmg`]V\8b\85wqj`]V\8b\85{tjd`Z\8e\85{tjg`]\92\85~tmj`]\92\85~wmjd]\92\88~wqjd]\92\8b\85wqjg]\95\8b\85{tjj`\98\8e\85{qmjd\98\8e\85{tmj`ÓÊù¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñëÿÿþñçáÓÐûîäÚÐƼ¹çÝÓƼ¶¯©ÚÓƹ³¬¥\9fÓÍÀ¹¬¥\9f\98ÓƼ¶¬¥\9f\95ÓƼ¶©¥\9c\95Óʼ³©¢\9c\92ÓƼ³©¢\9c\95Ðƹ³©\9f\95\92Íù¯¢\9f\95\8eÊÀ¶¬¢\9f\92\8bƼ³©\9f\98\92\8bƹ¯¥\9c\98\8e\88À¹¬¢\9c\92\88\85À¶¬¢\98\92\88\85¼³©\9f\95\8e\85\81¹¯¥\9f\92\8b\85\81¹¯©\9c\92\8b\85~¹¬¥\9c\8e\88\85~¹¬¢\9c\8e\88\81{¶¬\9f\98\8b\88~{¶©\9f\98\8b\85~{³©\9f\98\8b\85~w³©\9f\95\88\85~w¯©\9f\92\88\85{w¯¥\9f\92\88\85{t\92\88\81wmj`]VPF<96//("\e\15\ e\a\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\a\0\0\0\0\0,"\1f\15\11\ e\a\ 4?96/,%"\eSMF?92/,`ZSMC?96j`ZPIF?9jg]SPFC<mg`VPMF?qg`VPMF?tj`ZSPICqmg]VPMFwmj]ZSPI{tjd]VPM~wmg]ZSP\85{qj`]VP\85{qjd]ZP\85~wjg]ZS\88\81wmg`]V\8b\85wqjd]V\8b\81{qjg`Z\8e\85{tjg`]\8e\85{tmj`Z\92\88~tmj`]\92\88~wqjd]\92\88\85wqjg`\95\8b\81{qmg`\95\8b\85{tmj`\95\8e\85{tmj`ÓÍÀ¹¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôëÿÿþôëáÚÓþñäÚÐÊÀ¹çÝÐƼ¹¬©ÚÓƼ³¬¥\9f×ÊÀ¶¬¥\9f\98Óʼ¶©¢\9c\95Óʹ¶©¥\9c\95Óʼ¶©¢\9c\92Óƹ³©¢\9c\92Ðƹ¯©\9f\98\92Êù¯¥\9f\95\8eÊÀ³¬¢\9f\95\8bƼ¯©\9f\9c\8e\88ù¯¥\9c\95\8e\88À¹¬¢\98\92\8b\85¼³©¢\98\8e\88\85¼³¥\9f\95\8e\85\81¹¯¢\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¹¬¢\9c\8e\88\81~¶¬\9f\9c\92\88\81{³¬\9f\95\8b\85\81{³¬\9f\98\8b\85~{³©\9f\95\8b\85~w¯©\9f\92\88\85~w¯©\9c\92\8b\85{w¯¥\9c\92\88\85{t\92\88~wmjd]SPF?96//(\1f\18\15\ e\a\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\ e\v\a\ 4?62,("\1f\eSMC<62/,`ZPIC<96j`VPIC?9jdZSMFC<mg]SPFC<mj]VPMF?qj]ZPMFCtj`ZSPICwmg]VPPF{qj`ZSPI~tjd]VSP\81wmg`ZVP\85{qjd]ZP\85~tjg]ZS\88\81tmj`]V\88\81wqjd]Z\8b\85wqjg`Z\8e\85{tjgd]\8e\88~tmj`]\92\88~tqjd]\92\88~wqjg`\92\88\81wqjg`\95\8b\81{tjj`\95\8b\81{tmj`\95\8b\85{tmj`Óʼ¶¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñîÿÿþøëäÚÓûñäÚÐÆÀ¹çÝÓƼ¹¬¥ÝÓƼ³¬¥\9fÓÊÀ¹¬¥\9f\98ÓƼ¶¬¥\9c\95ÐƼ¶©¥\9f\98Óƹ³©¢\9c\95ÓƼ¶©¢\9f\95Ðƹ³¥\9f\98\92Ðö¯¥\9f\98\92Êö¬¢\9c\92\8bƼ¯©\9f\98\92\88ù¬¥\9c\95\8e\88À¶¬¢\9c\92\8b\85¼¶©¢\98\8e\88\85¼³¥\9f\95\8e\85\81¹¯¥\9f\92\8e\85~¹¯¢\9f\92\8b\85~¹¯¢\9c\8e\8b\85{¹¬\9f\98\8e\88\85{¶¬\9f\98\8e\85\81{³©\9f\95\8b\85\81{³©\9f\92\8b\85~w¯©\9c\92\88\85~w¯¥\9f\92\88\85{w¯¥\9c\8e\88\81{t\92\88~wmjd]VPF?962/(\1f\1f\15\11\ e\a\ 4\a\ 4\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,%\1f\18\11\ e\v\aC<6/,%"\1fVPF?96/,`ZPIC?96j`ZPIC?<jg]SPFC<mg]SPIC?mj]VPIF?qj`ZSPF?tj`]SPIFwmg]VPMF{qj`]SPI~tmg]VSM\81wqj`ZVP\85{qjd]VP\85~tjg]ZS\88~tmg`]S\88\81wqj`]V\8b\85{qjd]Z\8e\85{qjg`Z\8e\85~tmj`Z\92\85\81wmj`]\92\88\81wqjd]\92\88\81wqjd`\92\8b\85wqjg]\95\8b\85{tjj`\98\8b\85{tmj`ÓÊÀ¹¯¥\9f\9cÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôëá×Óûîä×ÐƼ¹çÚÐƼ¶¬©ÚÐƹ³¬¢\9fÓÍù¬¥\9f\98ÓƼ³©¢\9c\95ÓƼ³¬¢\98\92ÐƼ³©¢\9c\95Ðƹ³©¢\98\92Íù¯¥\9f\95\92Êù¯¥\9f\92\92Ƽ¶¬\9f\9c\95\8eƹ³©\9f\98\8e\88ù¯¥\9f\95\8e\88À¹¯¢\9c\92\88\85¼¶©\9f\98\8e\88\85¼³©\9f\92\92\85\85¹¯¥\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¬¢\9c\92\88\81~¶¬\9f\9c\8e\88\81{¶¬\9f\98\8e\88~{³¬\9f\95\8b\85~w¯©\9f\95\8b\85~w¯©\9f\95\88\85{w¯¥\9f\92\8b\85{w¯¥\9f\92\88\81{t\92\88~tmg`]VPF?96/,(\1f\e\11\ e\a\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0("\e\15\ e\v\ 4\ 4?92/("\1f\eSMC<62/,`VPIC<96j]VPIC?9md]SPF?<mg]VPMC?mg]VPMF?qj`ZPPFCtjdZSPICwmg]VSMF{tj`]SPI~tjg]ZPP\81wmg`]SP\85{qjd]ZS\85~tjg`ZV\88\81wmj`]V\88\81wqj`]Z\8b\85{qjg`Z\8b\85{tjg`Z\8e\85{tjj`]\8e\85~tmj`]\92\85\81wqjd]\92\88\81wqjg`\95\88\85wqjg]\95\8b\85{tjg`\95\8b\85{tmjdÓÊÀ¶¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûñëÿÿþôëä×ÓûñäÚÍÆÀ¹çÝÐƼ¹¯©ÚÓƹ³¬¢\9fÓʼ¹¬¥\9f\9cÓƼ¶©¢\9c\95Óʼ³¬¢\9c\95Ðƹ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ³¥\9f\98\92Íö¯¥\9f\95\8eʼ³¬¢\9c\92\8bƼ¯©\9f\98\92\88ù¬¥\9c\95\8b\88À¶¬¢\9c\92\8b\85¼¶©\9f\95\8e\88\85¼³¥\9f\92\8e\85\81¹¯¥\9f\92\8e\85~¹¯¢\9f\92\8e\85~¹¯\9f\9c\8e\8b\81~¶¬\9f\9c\8e\88\85{¶¬\9f\95\8e\88\81{³¬\9f\95\8b\85\81w³©\9f\92\8b\85~w¯©\9f\92\88\85~w¯¥\9c\92\88\85~w¬¥\98\92\88\85{w\92\88~wmjd]VPF?962,(\1f\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0,"\e\15\11\v\a\ 4?9/,("\1f\eSMC<62/,`VPI?<96g`VPIC?9jdZPMFC<jg]SPIC?qg]VPIF?qj`ZSMFCtj`ZSPICwmg]VPPF{qj`ZSPI~tjd]VSP\81wmg`ZVP\85{mj`]ZP\85~qjg`ZS\88~tmg`]S\88\81wmj`]Z\8b\85wqjg`V\8b\85{qjg`Z\8e\85~tmj`]\92\85~wmgd]\92\88\81wmjg]\92\88~wqjg`\92\8b\81{tjg`\95\8b\85{tjj`\98\8e\85{tmjdÓʼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþøñçÿÿûñçá×ÐûîáÚÍƼ¹çÚÐƼ¶¬©ÚÐù³¬¢\9fÓʼ¶¬¥\9f\98ÓƼ³©¢\9f\92Óʼ¶©¢\9c\92Óƹ³©¢\9c\95Ðƹ³©¢\9c\92Íƹ¯¥\9f\9c\92Íù¯¥\9f\95\92ʼ³¬¢\9f\92\8eƼ¯©\9f\98\8e\88ƹ¯¥\9f\95\8b\85À¹¬¢\9c\92\88\85¼¶©\9f\95\92\88\85¹³¥\9f\92\8e\85\81¹³¥\9f\92\8b\85\81¹¯¢\9c\92\88\85~¹¬¢\9c\8e\88\85{¶¬\9f\98\8e\88\81{¶©\9f\98\8b\85~{³©\9f\95\8b\85~w¯©\9f\95\8b\85~w³©\9f\92\88\85~w¯©\9f\92\88\85{t¯¥\9f\92\88\81{t\92\88\81tmj`]VPF?96/,(\1f\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,"\e\18\11\v\a\ 4C96/("\1f\1fSMF<66/,`ZPIC<96j`ZPIF?<jg]SPIC<mg]VPIF?qj]VPMFCqj`ZSPFCtjd]VPICwmj]ZSMI{qj`ZSPM~tmg]ZSP\81wmg`ZSP\85{tj`]VP\85~tjg]ZS\88~wmg]ZS\88\81wmjd]V\8b\81{qjg]Z\8e\85{qjd`Z\8e\85~tjg]Z\92\85~tmjd]\92\88\81wmjd]\92\88\81wqjd]\95\8b\85wqjg`\95\8b\85{tmg`\95\8b\85{tmg`×ÊÀ¶¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûñëÿÿþøëä×ÐûîäÚÐƼ¹çÝÐƼ¶¬¥ÚÐƹ³¬¢\9fÓÊÀ¶¬¥\9f\95ÓƼ¶¬¢\9c\95ÓƼ¶©¢\9c\92ÓƼ³©¢\98\92Ðƹ³©¢\9c\92Íƹ¯¥\9f\98\92Êù¬¥\9f\92\8eƼ³¬¢\9c\95\8bù³©\9f\98\8e\88ù¬¥\9c\95\8b\85À¹¬¥\98\92\88\85¼³©\9f\95\8e\88\85¹³¥\9f\92\8e\85~¹¯¥\9c\92\8b\85~¹¯¢\9c\8e\88\85{¶¬\9f\9c\8e\88\85~¶¬\9f\95\8e\88\81{³¬\9f\98\8e\85~{³©\9f\95\8e\85~w³©\9f\95\8b\85~w³©\9f\92\88\85{w¯¥\9c\92\88\85{t¯¥\9c\92\85\85{t\92\88~tmj`]SMF?96/,("\18\11\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4?92,("\1f\eSMC<62/,`ZPF?<66j`VPFC<9j`ZSMF?<mg]SPFC?mj]VPIF?qj`ZPPF?tj`]SPICwmd]ZPMI{qj`ZSPI~tj`]VSM\81tmg`ZSP\85{qjd]VP\85~tjg]]S\88~tmj`]S\88\81wmj`]Z\8b\81wqjd`Z\8b\85{qjg`]\8e\85{tmg`]\8e\88~wmjd]\92\88~wmjd]\92\88\81wqjg]\92\88\85wqjj`\98\8b\81{qjj`\95\8b\81{tmj`ÓÊÀ¶¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôëÿÿþôëáÚÐûîä×ÐÆÀ¹çÚÐƼ¹¯¥ÚÐƹ³©¥\9fÓÊÀ¶¬¥\9f\95Óƹ³¬¢\9c\92Óƹ³©¢\9f\95ÓƼ³©¢\9f\92Óƹ¯©¢\9c\92Ðƹ³©\9f\9c\92Êù¯¥\9f\95\8eʼ³¬¢\9c\92\8bƼ³¥\9f\95\92\88ù¬¢\9f\95\8e\85À¶¬¢\9c\92\8b\85¼¶©\9f\98\8e\88\81¼³¥\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¶¯¢\98\8e\88\85{¶¬\9f\98\8e\88\81{³¬\9f\95\8e\85\81w³©\9f\95\8e\85\81w³¥\9f\92\8b\85~w¯¥\9c\92\8b\85{w¯¥\9c\92\88\85{t¯¥\9c\92\88\81{t\92\88~tmjd]VPF?962,,"\1f\15\11\ e\v\ 4\v\ 4\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,%\1f\18\11\ e\v\aC92/(%\1f\1fSPC?62/,`ZPIC?96j`VPIC?9j`ZSPFC<mgZSPIF?mg]VPMF?qj]ZPPFCtjd]SPICwmd]VPMF{qj`ZSPI~tm`]VPI\81wmg`ZSP\85{qjd]ZP\85{qjd`ZS\88~tmg`ZS\88~wmj`]V\8b\81{qjd]V\8e\85{qjd`Z\8e\85{tjg`Z\92\85~wmj`]\92\88~wmjd]\92\88\81wqjd]\92\88\85wqjg]\92\8b\85{qjg`\95\8b\85{tjj`×ÊÀ¶¬¥\9f\95ÿÿÿÿÿÿÿøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûñëáÚÐûîä×ÐÆÀ¹çÚÓƼ¶¬¥ÚÐƹ³©¥\9fÓʼ¶¬¥\9f\95ÓƼ³©¢\9f\92ÓƼ³¬¢\9c\92Ðü¯©¢\9c\92Ðƹ³©\9f\9c\92Íù¯¥\9f\98\92Êö¬¢\9f\95\8eƼ³©\9f\9c\92\8bƹ³¥\9f\98\8e\88ù¯¥\9f\92\8e\85À¶¬¢\9c\92\8b\85¼¶©\9f\95\92\88\85¹³¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85~¹¯¢\9c\92\88\85{¶¬¢\9c\8e\88\81{¶¬¢\98\8e\88\81{³©\9f\95\8b\85~w³©\9f\95\8b\85{w³¥\9f\92\8b\85{w¯©\9f\92\88\85{t¯¥\9f\92\88\81{t¯¢\9c\92\88\81{t\92\88~tmg`]VPF<66/,(\1f\e\15\ e\a\ 4\ 1\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0,"\e\15\ e\v\a\ 4?92/("\1f\eSMC962/,]VPIC<96g`VPIC<9jd]SPFC<mg]SPIC?mg]VPMF?mj`ZPPICtjdZSPICwmg]VPPF{qj`ZSPI~tjg]ZPP\81wqg`]VP\85{qjd]ZP\85{tjg`ZS\85~wmg`ZV\88\81wmjd]V\8b\85wqjg]Z\8b\85{tjg]Z\8e\85{tjg`]\92\88~tmj`]\92\88~wmj`]\95\88\81wqjg]\92\8b\81wqjg`\95\8b\85wqjgd\95\8b\85{qmg`ÓÊÀ¶¬¥\9f\95ÿÿÿÿÿÿÿøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþñëä×ÐûîäÚÐƼ¹çÚÐƼ¶¬¥ÚÐƹ¯¬¢\9fÓʼ¶¬¥\9f\95Óʹ³©¢\9c\95ÓƼ¶©¢\9c\92Ðƹ³©¢\9c\95Óƹ³©\9f\98\95Ðù¯¥\9f\95\92Íö¬¥\9f\92\8eƼ¶¬\9f\9c\92\8bƼ³©\9f\98\8e\88ù¯¥\9c\95\8e\85¼¹©¢\9c\92\88\85¼¶©\9f\98\8e\88\85¹¯¥\9f\95\8e\85\81¹¯¢\9f\92\8e\85~¹¯¢\9c\92\88\85~¶¬¢\9c\8e\8b\85~³¬\9f\98\8e\88\81{³©\9f\98\8e\88\81{³©\9f\92\8b\88~w³©\9f\92\88\85{w¯¥\9c\92\88\85{w¯¥\98\92\88\81{t¬¢\98\92\88\85{t\92\88{wmj`]VPF?96/,(\1f\e\11\ e\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0("\e\15\ e\v\ 4\ 1?92,("\1f\eSMC<62/,]VPF?<92g]SPIC?9jdZPMF?<jd]SPIC<mg]VPMC?qj]VPMF?tj`ZSPICwmd]VPPC{mg`ZSPM~tj`]VPM\81tmg`ZSP\85wqgd]VP\85{tjd]ZS\88~tmg`]S\88\81wmj`]V\8b\81wqjd]V\8b\85{qjd`Z\8e\85{tjg`]\8e\85~tmj`]\92\88~wmjd]\92\88~wqjg]\92\88\81wqjg`\95\8b\81{tmj`\95\8e\81{tmj`Óʼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñëÿÿûñçá×Ðøîá×ÐƼ¶çÚÐƼ¶¬¥ÚÐü¯¬¢\9fÓʼ¶¬¥\9f\98Ðƹ³©¢\9c\98Ðƹ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Íƹ¯¥\9f\9c\8eÊö¬¢\9f\95\8eÆÀ³¬\9f\9c\92\8bƹ¯©\9f\95\8e\88ù¬¥\9c\95\8e\85¼¶¬¢\98\92\88\85¹³¥\9f\95\8e\88\81¹³¥\9f\95\8b\85\81¹¯¢\9f\92\8b\85~¹¯¢\9c\92\88\85~¶¯\9f\95\8e\88\81{³¬\9f\98\8e\88\81{³©\9f\95\8b\85~{³¬\9f\92\88\85~w³©\9f\92\88\85~w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¬¥\98\92\88\81{t\92\88{tmg`]VPF?96/,(\1f\18\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\18\11\v\v\a?92/,""\eSMF<62/,`ZPIC<96j`ZPIF?9jdZSMIC<mg]VPIF?mg]VPIF?qj`ZPPICqjdZSPMCwmg]ZPMF{qj`ZSPI~tjd]VPM\81wmj]ZSP\85wqjd]VP\85{qjd]ZS\85~tjg`ZS\88~wmg`]V\88\85wmjd]Z\8e\85{qjg]Z\8e\85{tjg`]\8e\85~tmj`]\8e\85~tmgd]\92\88\81wmjd]\92\88\81wqjg]\92\88\81wqjg]\95\8b\81{tmj`×ÊÀ¶¬¥\9f\95ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûñçÿÿûôçá×Ðûîä×ÍÆÀ¹çÝÐƼ¶¬¥ÚÐù¯¬¢\9cÓʼ¶¬¥\9f\95Ðƹ³©¢\98\92ÐƼ³©¢\9c\92Ðƹ³¥¢\9c\92Ðƹ¯©¢\98\92Íù¯¥\9f\95\92Êö¯¢\9f\92\8eƼ³¬\9f\9f\92\8bƹ¯¥\9f\98\8e\88À¹¬¢\98\92\8b\85¼¹©¢\98\92\88\85¹³©\9f\95\8e\88\85¹³¥\9f\92\8b\85\81¹¯¥\9c\92\8b\85~¹¯¢\9c\8e\88\85{¶¬¢\95\8e\88\81{³¬\9f\98\8e\88~w³¬\9f\95\8e\88~{¯©\9f\95\8b\85~w³©\9f\92\88\85~t¯¥\9c\92\88\85{w¯¥\9f\92\88\85{t¬¢\98\8e\88\81{t\92\88~tmj`]VMF<66/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\ e\v\a\ 4?62,("\1f\eSMC96//,`VPIC<66j]VPIC<9j`ZSMF?9jd]SPFC<mg]SPFF?qj`VPMF?tj`]SPICwmg]VPMF{qj`ZSPI~tj`]VPM\81wmg`ZSP\81{qj`]ZS\85{tjd]ZS\85~tmg`ZV\88~wmjd]V\8b\81wqjg]Z\8b\85{qjg`Z\8e\85{tmj`Z\8e\85~tmjd]\92\88~wmjd]\92\88\81wqjd]\92\88\81wqjg]\92\8b\81{tmg`\95\8b\85{tmj`ÓƼ¶¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôëá×Ðûîá×ÐƼ¹çÝÐƼ¶¬¥ÚÐù³©¢\9fÓʼ¶¬¢\9f\95ÓƼ³¬¢\98\92Ðƹ³©¢\9f\92Ðƹ¯©¢\9c\92Ðƹ¯©\9f\9c\92Íù¯¥\9f\98\8eÍÀ¹¬¥\9f\95\8eʼ³©¢\9c\92\8bƹ¯¥\9f\95\8e\88ù¬¢\9c\92\8e\85¼¶©\9f\98\92\8b\85¼³©\9f\95\8e\88\81¹¯¥\9f\92\8e\85~¹¯¢\9f\92\88\85~¹¬¢\9c\92\88\85~¹¬¢\9c\8e\88\81{¶©\9f\95\8e\85\81{³©\9f\95\8b\85\81w³¥\9f\92\8b\85\81w³¥\9f\92\88\85~t³¥\9c\92\88\85~t¯¥\9c\92\88\81{t¯¥\98\92\88\81{t\8e\85~tmj`]VPF?962/("\1f\18\11\ e\v\a\a\ 4\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,"\1f\18\15\ e\v\a?92,(%\1f\eSPC<66/,`ZPF?<96j]VPFC?9j`ZSMFC<md]SPFC?md]VPIF?qj`VPMF?tj`ZSPICtmg]VPMFwqj`ZSPF{tjd]VSM\81wmg]ZSP\85wmj`]VP\85{tjd]ZP\85~tmg`ZV\88\81tmj`]V\88\81wmj`]V\8b\85wqjg`Z\8e\85{tjg`Z\8e\85~tmj`]\92\88~wmjg]\92\88~wqjg]\92\88\81wqjg]\92\88\81{qjg]\95\8b\81{tjg`ÓƼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñçÿÿûñçá×Íøîá×ÍƼ¹äÚÍƼ¶¬¥ÚÍù¯©¢\9fÓʼ¶©¥\9c\95Óƹ³©¢\9c\95Ðƹ³©¢\98\92Ðƹ¯©¢\95\95Êƹ¯¥\9f\95\92Êù¯¥\9f\98\92ÊÀ¶¬¥\9f\95\8eƼ³©\9f\9c\92\8bƹ³¥\9f\98\8e\88À¹¬¥\9f\95\8b\85À¶©\9f\9c\92\88\85¼³©\9f\95\8e\88\81¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¬¢\95\8e\8b\85{¶¬\9f\9c\8e\88\81{³©\9f\95\8e\85\81{³©\9f\95\8b\88~w³©\9f\92\88\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\85{w¯¥\9c\92\85\85{t¬¥\98\8e\85\85wt\8e\88~tmj`ZSPC?66/,%\1f\18\11\ e\a\ e\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\ 4\ 4?92,("\1f\eSMC<62/,`VPFC<92j`VPIC<6j`]SMFC<jd]SMIC?mg]SPIF?qj`VSPFCtj`]SPICwmg]VSIFwqj`ZVPM~tjd]ZSM~wmg`ZSP\85{qj`]ZP\85{tjg]ZS\85~tmg`]S\88~wmj`]V\8b\81wqjd]Z\8b\85{qjg`Z\8e\85{tjg`Z\8e\85~tjg`]\92\85~tmj`Z\92\88~wmjd]\92\8b\81wqjd`\95\8b\81wqjg`\95\8b\85wqjg`Óʼ¶¬¥\9f\95ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþñçá×ÐûîäÚÍƼ¶äÚÍƹ¶¬©ÚÐù³©¢\9fÓʼ¶¬¢\9f\95ÓƼ¯©¢\9c\92ÐƼ³©\9f\9c\92Ðƹ³©¢\9c\92Ðƹ¯©\9f\98\92Íù¬¥\9f\98\8eÊÀ¶¬¥\9f\92\8bƼ³©¢\98\92\8bƹ¯¥\9f\98\8e\85ù¬¢\9c\92\8b\85¼¶©¢\9c\92\88\85¼³©\9f\95\8e\88\81¹¯¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¹¬¢\9c\8e\88\85{¶©\9f\98\8e\88\81{³©\9f\95\8b\85\81{³¥\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¢\9c\92\88\85{t¬¢\9c\8e\88\81{t¬¢\9c\92\85\81{q\8e\88~tmj`]SPF<66/,(\1f\18\15\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\ 4\ 4?62,("\1f\eSMC962/,]VPF?<62g]VPIC?9j`ZPMFC<jg]SMFC?mg]VPIF?mj`ZPIF?qj`ZPPICtjd]ZPMFwqj`ZSPI{tjd]VPM~wjg]ZSP\85wqj`]VP\85{tjd]ZS\85~tjd]ZS\88~wmj`]V\8b\81wqjd]Z\8b\85wqjg`Z\8e\85{qjg`Z\92\85~tmj`]\8e\88{tmjd]\92\88\81wmjd]\92\88\81wqjg`\92\88\81wqjg`\95\8b\85wqjg`ÓƼ³¬¥\9c\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøÿÿÿÿþøîçÿÿûîçáÓÍøîáÓÐƼ¹äÝÐƼ¶¬¥ÚÐù³©¢\9cÓƼ¶¬¥\9f\98Ðƹ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ¯¥\9f\9c\92Íù¯¥\9f\98\8eÊö¬¢\9f\95\8bÆÀ³©\9f\9c\92\8bƹ¯¥\9f\95\8e\88À¹¬¥\98\95\8b\85¼¶©\9f\98\92\88\85¹³¥\9f\95\8e\85\81¹¯¥\9f\92\8e\85~¹¬¢\9c\8e\8b\85~¹¯¢\9c\8e\88\81{¶¬\9f\98\8e\88\81{³¬\9f\95\8e\85\81{³©\9f\95\8b\85\81w¯©\9f\92\8b\85\81w¯¥\9c\92\88\85{w¯¥\98\92\88\85{t¬¥\95\92\88\81{t¬¢\98\8e\85\81wt\8e\88{tmj`]SPC?96/,(\1f\18\11\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\11\ e\v\ 4?62,(%\1f\eSMC<62/,]ZPIC<96j`VPIF?9j`]SMFC<mg]SPIC?mg]VPIF?qj`ZSPFCqj`ZSPICtjg]VPMF{qj`ZSPI{tj`]VPM\81wmg`ZVP\81wqg`]VP\85{qjd]ZS\85~tjg`ZS\88~wmj`]V\8b\81wmj`]V\8b\85wqjg`Z\8b\85{tjg`Z\8e\85{tjj`]\8e\85~tmj`]\92\88~wmjd]\92\88~wqjg]\92\8b\81wqjg`\95\8b\85wqmg`ÓƼ¶¬¥\9f\9cÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîëÿÿûñçá×Ðøîá×ÍÆÀ¹äÚÍƹ¶¬¥ÚÐù¯©\9f\9fÓƼ³¬¥\9f\95Ðƹ³©¢\98\92Ðƹ³©¢\9c\95Ðƹ³©¢\98\92Íù¯©\9f\9c\92Êù¯¥\9f\95\8eÊÀ¶¬¢\9c\95\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\95\8e\88À¹¬¢\9c\95\8e\85¼¶©¢\95\92\88\85¹³¥\9f\92\8e\85\81¹¯¢\9f\92\92\85~¹¯¢\9c\92\8b\85~¹¬¢\9c\8e\88\81~¶¬\9f\98\8e\88\81{³¬\9f\95\8e\88\81{³©\9f\95\8e\85~w³©\9c\95\88\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85{w¬¥\9c\92\88\81{t¬¢\98\8e\85\81wt\8e\85{tmg`ZSPF<66/,%\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\v\ 4\0\0\0\0\0("\18\15\ e\v\a\ 4?6/,("\1f\eSIC96//(`SPI?<62g]VPFC?9j`ZSMF?9jd]SMIC<mg]VPMC?qj]VPIF?tj`ZSPFCtjd]SPMFwqj`ZSPI~tjd]VPP~wmg]ZSP\85{qj`]VP\85{qjd]ZS\85~tmg`]S\88~wmj`]V\8b\81wqj`]Z\8b\81{qjg]Z\8b\85{qjg`]\8e\85~tmj`]\92\85~tmjd]\92\88~wqjg]\92\88\81wqjd]\95\88\81wqjg`\92\8b\85wtmg`\95\88\85{tmj`\95\8e\85{tmjd\98\8e\85{tmjd\98\8e\85{tmjd\9c\8e\85~tmjd\98\8e\85~wmjd\9c\92\85~wqjd\9f\92\85~wqjg\9c\92\88~wqjg\9f\92\88\81wtjg\9c\92\88\81wtmg\9f\92\88\81{qmj\9f\92\8b\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmg\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85{wqj\9f\92\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{tqj\9f\95\8e\85{wmj\9f\95\8b\85~wmj\9f\95\8b\85{wqj\9f\98\8b\85{tmj\9f\95\8b\85~tqj\9f\95\8e\85{tqj\9f\95\8b\85{wqj\9f\95\8e\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~tqj\9f\98\8e\85~wqjÝÓƼ³¬¢¬ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîçÝÓûôçÝÓÍüîá×Êù³¬ÝÓÊÀ¹¯¥\9f×Íù¯©\9f\9cÓÊÀ¶¬¥\9f\98Óʼ¶¬¥\9f\98Óʼ¶¬¥\9f\95Óƹ³©¢\9f\95ÐƼ³©¢\9c\92Ðù¯¥\9f\98\92ÊÀ³¬¢\9f\92\8eƼ³©\9f\9c\92\8bù¯¥\9f\95\8e\85À¶¬¢\9c\92\8b\85¼¶©\9f\95\92\88\81¹³¥\9f\92\8e\85\81¹¯¥\9c\92\8e\85~¹¯¢\9c\92\8b\85~¶¬\9f\9c\8e\88\85{¶¬\9f\98\8e\88\81{³©\9f\98\8b\88~{³©\9f\92\8b\85~w³©\9c\92\88\85~w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¯¢\95\92\85\81{t¬¢\98\8e\85\81{t¬¢\95\8e\85\81wt¬\9f\98\8e\85\81{q©\9f\92\8b\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85~wq©\9f\92\8b\85~tq©\9f\92\8b\81~tq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¢\9f\8e\88\81{tm¢\9f\8e\88\81{tm¥\9c\92\88\81{tm¥\9f\8e\85\81{tm¥\9c\8e\88~{tm¥\9c\92\88~{qj¥\98\8e\85~{tm¥\9c\8e\85~wqj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~{qj¢\98\8e\85~wqj¢\9c\8b\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85{wqj¢\98\8b\85~wqj¢\9c\8e\85~tqj¢\95\8e\85{wqj¢\9c\8b\85{wmj¢\98\8b\85{wmj\85~tmd]ZSMF96//,%\1f\18\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\092,("\1f\e\15PIC962/(`VPF?<62j]VPIC?9jdZSPFC<mg]VPIF?mj]VPMF?qj]VSPFCqj`ZSPICwmg]VPPFwmg`ZSPI{tjd]VPP~tmg`ZVP\81wqj`ZVP\85{qjd]VS\85~tmg`ZS\88\81wmgd]V\88\81wmjd]V\8b\85wqjd]Z\8b\85{qjg`Z\8e\85{tmg`]\8e\85~tmj`]\92\88~tqjd]\92\88\81wmjd]\92\88\81wqjd`\95\8b\85{qmgdÓƼ¶¬¥\9c¯ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñçÿÿûñçáÓÍûîá×ÍƼ¶ä×Íù¶¬¢ÚÍù³©¢\9cÓƼ¶¬¢\9f\92Ðƹ³©\9f\9f\92Ðƹ¯©\9f\9c\92Ðƹ¯©¢\9f\92Ðù³©\9f\98\92Íù¯¥\9f\95\8eÊÀ¶¬¢\9c\92\8eƹ³©\9f\98\92\88ù¯¥\9f\98\8e\88À¹¬¢\98\92\8b\85¼³©\9f\95\92\88\85¹³¥\9f\92\8e\88~¹¯¥\9f\92\8b\85~¹¬¢\9c\92\8b\85~¶¬\9f\95\8e\88\85{¶¬\9f\98\8e\88\81{³©\9f\95\8e\85~w¯©\9f\92\8b\85~w¯¥\9c\92\8b\85~w¯¥\9c\95\88\85{w¯¢\9c\8e\88\81{t¬¢\9c\92\85\81{t¬¢\98\8e\85\81{t\8e\85~tjg`]SMC<62/,(\1f\18\11\v\a\ 4\ 1\ 4\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0%\1f\18\11\ e\v\ 4\ 1<6/,""\1f\18SIC96//,]SPF?<62g]VPFC<9j`ZPMF?9md]SPFC<jd]SPFC<mg`VPIF?qj]ZSPFCtjd]SPIFwqj`ZSPF{qjd]SPI~tjg]ZSP\85wmj`]SP\85{qjd]ZS\85{tjg`ZS\88~tmg`]V\88~wqj`]V\8b\81wqjd]Z\8b\85{qjg`Z\8e\88{tjj`]\8e\85~tmjd]\8e\85~wqjd]\92\88\81{qjg]\92\88\81wqmg`\92\88\81wqmg`\95\8b\81{tmj`\92\8e\85{tmj`\98\8b\85{tmjd\95\8e\85{tqjd\9c\8e\85~tqjd\98\8e\85{tmjg\98\8e\85~wqjg\9c\92\85~wqjg\9c\92\85~wqjg\9f\92\88\81wtmg\9f\92\88\81wtjg\9c\92\88\81wqmj\9f\92\88\81wqmg\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\95\88\81{tmj\9f\95\88\81{tmj\9f\92\88\81{tqj\9f\92\8b\85~wmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85~tmj\9f\92\8b\85~tqj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85{wqqÝÓƼ¶¬¥ÊÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿÿøîçÝÓûñçÝÓÊüëáÓÆÀ¹³©ÝÓʼ¶¯©\9fÓÍÀ¹¯©\9f\9cÓʼ¶¬¥\9f\98ÓƼ¶¬¥\9f\95ÓƼ³¬¥\9f\92ÓƼ³¬¢\9f\92Ðƹ¯©¢\9c\92Íƹ¯¥\9f\98\8eʼ¶¬¢\9f\95\8eƼ¯©\9f\98\92\88ù¯¥\9f\95\8e\85À¶¬¢\9f\92\8b\85À³©\9f\98\92\88\85¼³¥\9f\95\8e\85\81¹¯¥\9c\92\8e\85~¹¬¢\9c\92\88\85{¶¬¢\98\8e\88\85{¶¬\9f\98\8e\88\85{¶©\9f\95\8b\85\81{³©\9f\92\8b\85~w³©\9f\92\8b\85~w¯¥\9c\92\8b\85{t¯¢\98\92\88\85{t¬¢\95\8e\88\85{t¬¢\95\8e\88\81{t¬¢\95\8e\85~wq¬\9f\95\8e\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85~wq©\9f\92\88\85~tm©\9f\92\88\85~tq©\9f\92\88\85{wm¥\9f\92\88\81{wm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{wm¥\9f\92\88~{tm¥\9c\92\88\81{tm¥\9c\8e\88~{tm¢\9c\8e\88\81wqm¢\98\8e\85~{tm¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\98\8e\88~wqj¢\98\8e\85~wtj¢\9c\8e\85\81wtj¢\9c\8e\85~wqj¢\9c\8e\85~wtj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85{wqj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj\85{tjd]ZSMC<62/,%\1f\18\ e\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\092,%\1f\1f\e\15PF<92/,(]VPF?<62g]VPFC<9j`ZPMFC<jd]SPIC?jg]SPIC?mg]VPMF?qj`ZSPICtjd]SPMFwmg]ZSPI{qj`ZVPM~tjg]ZVP\81wqg`]SP\85{qjd]ZS\85{tjg`ZS\88~tmj`ZV\88\81wqjd]V\88\85wqjg]Z\8b\85{qjg`]\8e\85{tmj`Z\8e\85~tmjd]\92\85~wmjg]\92\88~wqjd]\92\8b\81wqjg]\92\8b\81{qjg`\95\8b\85{tjg`\95\8b\85{tmj`\95\8e\85{tmj`\98\8e\85{qmjd\9c\8e\85~tmjd\9c\8e\85{wqjg\98\8e\85~wqjd\9c\8e\85~tqjg\9c\92\85\81wqjg\9c\92\88\81wqjj\9f\92\88\81wqjg\9f\92\88\81wqmg\9c\92\88\81wtmj\9c\92\88\81wtmj\9c\92\88\81wqmg\9f\92\88\81wtjg\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\8b\81{tmj\9f\92\8b\85{tmj\9f\92\8b\85{tqj\9f\95\8b\85{tmj\9f\95\88\85{tqj\9f\95\8b\85~tqj\9f\95\8b\85{wqj\9f\92\8e\85~wqj\9f\95\8b\85~wqj\9f\92\8b\85{wqj\9f\95\8b\85~wqj\9f\98\8b\85~wmj\9f\98\8e\85{wm~ÚÐƹ³¬¥ÚÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäÝÓþñçÝÓÊƹîÝÓÊÀ¹³©ÝÓƼ¶¯©\9f×Íù¯©¢\9cÓÊÀ¹¯¥\9f\95ÓÊÀ¶¬¥\9f\92ÓÆÀ¶¬¢\9f\95ÓƼ³¬¢\9c\92Ðƹ¯©¢\9c\95Íù¯¥\9f\98\8eʼ¶¬¢\9c\92\8bƼ³©\9f\98\92\88ù¯¥\9c\95\8e\85À¶¬¢\98\92\8b\85¼³©\9f\95\8e\88\85¼³¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85~¹¬¢\9c\92\8b\85{¶¬\9f\95\8e\88\81{¶¬\9f\95\8e\88\81{³©\9f\95\8b\85~w¯©\9f\92\88\85~w¯¥\9f\8e\88\85{w¯¥\9c\92\88\85~w¬¥\9c\8e\88\81{t¬¢\9c\8e\85\81{t¬¢\95\8e\85~{q¬\9f\95\8b\85\81wq¬\9f\95\8b\85~wq©\9f\92\8b\85~wq©\9f\95\8b\85~wq©\9f\92\88\85{tm©\9f\92\88\81{wm¥\9f\92\88\81~tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\88\81{tm¢\9c\92\85\81{qj¥\9c\8e\85~{qm¥\9c\8e\85~wqj¢\9c\8e\85~wtm¥\9c\8e\88~wtm¥\9c\8e\85\81wqj¢\9c\8e\85~wqj¢\9c\8e\85~wmj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wmj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8b\85{wqj¢\98\8b\85~wmj¢\98\8b\85~wqj¢\98\8e\85~tqj\9f\95\8e\85~wmj¢\98\8e\85~wqj\85~tjg]ZSPC<62/,%\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\ e\ 4\ 4\ 1\092,%"\1f\18\18PF?92//,]SPFC<62g]VPIC?9j`ZSIF?<jdZSPIC?mg]VPIF?qg`VPMFCqj`ZSMIFtjg]VPMFwmg]ZSPI{qj`]SPP~tjg]ZSP\81wmj`]SP\85{qjd]VS\85{tjg`ZS\85~tmg`]V\88~wmj`]Z\88\81wqjd]V\8b\85{qjg`Z\8e\85{tmg`]\8e\85~tmj`]\8e\85~tqjd]\92\88~wmjg`\92\88\81wqjg`\92\88\81wqjg`\92\88\81wtjg`\95\8b\81{tmjd\98\8b\85{qmjd\95\8e\85{tmjd\98\8e\85{tmjd\98\8e\85{tmjg\9c\8e\85~wmjg\9c\8e\85~wqjg\9c\8e\85~wqjg\9c\92\88\81wqjg\9c\92\85\81{tjj\9c\92\85\81wqjj\9f\92\88\81{qjj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{qmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\95\88\85{tmj\9f\92\88\85{tmj\9f\92\8b\85{tqj\9f\95\8b\81{wqj\9f\92\8b\85{tqj\9f\95\8b\85{wmj\9f\95\8b\85{wqj\9f\95\8b\85{wqj\9f\95\8e\85{wqj\9f\95\8b\85{wqj\9f\95\8b\85{tmj\9f\95\8b\85~wmj\9f\98\8b\85~wq\92ÚÓƼ³¬¥ñÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþøîçÝÓþñçÝÓÍùëÝÓÊÀ¹¯¬ÝÓƼ³¯¥\9fÓÍÀ¹¯©\9f\9cÓÊÀ¹¬¥\9f\98Óʼ¶¬¥\9f\95Óʼ¶¬¥\9c\95Ðƹ³©¢\9c\95Ðƹ³©¢\98\92Êù¯¥\9f\95\8eƼ¶¬¢\9f\92\8bƼ³©\9f\98\92\88ù¯¥\9f\92\8e\85À¶©¢\9c\92\88\85¼³©\9f\98\92\88\81¹¯¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85\81¶¬¢\98\92\88\85~¶¬\9f\98\92\88\81{³©\9f\95\8b\88\81{³¥\9f\95\8e\85~{¯©\9f\92\8b\85~w¯¥\9c\92\88\85~t¬¥\9c\92\88\85{t¬¢\9c\8e\88\81{t¬¢\98\8e\85\81{t\8e\85{tmj`]VMC?66/,("\e\15\11\ e\a\ 4\a\ 4\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\e\15\ e\v\ 4\a?92,("\1f\1fPMC96//,`VPFC<66g]VPFC<9j`ZPMF?<j`]SMFC<mgZSPMC?mg]VPIFCqj`ZPPFCtjd]VPMIwqg`VSPM{qj`]VPM~tjd]ZSP\81wmj`]SP\81{qjd]VS\85{tjd]ZS\85~tmg`ZV\88~wmj`]Z\88\81wmjd]Z\8b\85wqjd`]\8b\85{qjg`]\8e\85~tjj`]\8e\85~tmj`]\92\85~wmjd`\92\88~wqjg`\95\88\81wqjg\8eÓƼ³¬¢\9fîÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîäÿÿûîäÝÓÊôîÝÓÊù¶ä×Íù³¬¢Óʼ¹¯©\9f\9cÐƹ³©¢\9c\95Íù¯©\9f\9c\92Íù¯¥\9f\9c\92Ðù¯©\9f\98\92Êù¬¥\9f\98\92ÊÀ¹¬¢\9f\95\8eƼ³¬¢\9c\92\8eƼ³©\9f\9c\92\88ù¬¥\9c\95\8b\88À¶¬¢\95\92\88\85¼³©\9f\95\8e\88\85¹³¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¶¯\9f\9c\8e\88\85{¶¬\9f\98\8e\88\81~³¬\9f\95\8b\85~{¯©\9f\95\8b\85~t³¥\9f\92\8b\85~w¯¥\9f\92\88\85~t¯¥\98\92\88\85{t¬¢\9c\8e\88\81{t¬¢\98\8e\85\81{t¬¢\95\8e\85\81wt¬¢\95\8e\85~wq©\9f\95\8b\85~wq©\9f\92\8b\81{tq©\9f\92\8b\81~tq¥\9f\92\8b\81{tm¥\9f\92\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\85\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88~wqm¢\9c\8e\88~wqm¢\9c\8e\88~wqj¢\9c\8e\88~wqj¢\9c\8e\85~{qj¢\9c\8e\88~wqj¢\9c\8e\85~wqm¢\95\8b\85{wqj\9f\98\8e\85{wqj\9f\98\8b\85~wqj¢\95\8b\85{wmj¢\98\8e\85~wqj\9f\95\8b\85{wqj¢\98\8b\85{wmj¢\9c\8e\85~wqj¢\98\8b\85~wqj\9f\98\8b\85{wmj\9f\95\8b\85{wqj\9f\95\8b\85{wqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\85~qj`]VSIC96/,(%\1f\18\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\ e\v\ 4\ 4\0\a62,%\1f\1f\18\1fPF?62/,/]VPF?<66g]VPIC<9j`ZPMF??jd]SPIC?md]SPICCmg]VPMFCqg`VSPIFtjd]SPMIwmg]ZSPM{qj`ZVPP~tjd]ZSS\81wmjd]VV\85{qjd]ZV\85{qjd]ZZ\88~tjg`]Z\88~wmjd]]\88\81wqjg`]\8b\85{tjg``\8b\85~tmg``\8e\85{tmg``\8e\88~tqj``\92\88~wmjdd\92\88~wqjgd\92\88\81wqjg\9fÓƼ³©¢\9fþÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûîçáÓÍøîáÓÍƼ¶äÚÍù³¬¥×ÍÀ¹¯©\9f\9cÐƹ³©¢\9c\95Íƹ¯©\9f\98\92Íù¯©\9f\9c\92Íù¯©\9f\98\92Íù¯¥\9f\98\92Íù¬¢\9f\95\92ʼ¶¬¢\9c\92\8bƼ¯¥\9f\98\8e\88ù¯¥\9f\95\8e\88À¶¬¢\98\92\8b\85¼³©\9f\95\8e\88\85¹¯¥\9f\92\8e\85\81¹¬¢\9c\92\8b\85~¶¬¢\98\8e\88\85{¶¬\9f\98\8e\88\81{³©\9f\95\8b\88\81{¯©\9f\95\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85{w¬¥\9c\92\88\85{w¬¢\9c\8e\88\81{t¬¢\95\8e\85\81wt¬\9f\95\8e\85\81wt\8e\85{tmj`]SPC<96/,%"\e\15\ e\v\a\ 4\a\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0(\1f\18\11\ e\v\a\15<6/,%"\1f(PI?96/,2]SPF?966g]SPF?<<j`VPIF??j`ZSPF?Cj`]SPICCmg]VPICFmj`VPPFItj`]VPIMwmg]ZSPP{qj`]VPS~tjd]ZSS\81wmj]ZSZ\81{qj`]SZ\85{qjg]Z]\85~tjg`Z]\88\81wmj`Z`\88\81wqj`]`\8b\81wqjg]d\8e\85{tmg`d\8e\85{tjgdg\8e\85{tmj`g\92\85~tmjdg\92\88~tqjdj\92\88\81wqjgj\92\8b\85{qmgj\92\8b\81{tmgj\95\88\85{tmjj\95\8b\85~tmjm\95\8e\85{tqjm\95\8e\85{wqjm\95\8e\85~wqjm\9c\8e\85~wqjm\9c\8e\85~wqjm\9c\8e\85~wqmq\9c\92\88~wqmq\9c\92\88\81wqmq\9c\92\88\81wtmq\9c\92\88\81wtmt\9f\92\88\81wqjq\9c\92\88\81wqmq\9f\92\88\81{tmt\9f\92\88\81{tmt\9c\92\88\85wtmt\9f\92\88\81{tmt\9f\92\8b\81{tmt\9f\95\8b\81{tmt\9f\95\8b\85{tmw\9f\95\8b\85{tmt\9f\95\8b\85{wmt\9f\95\8b\85{tmt\9f\95\88\81{tqw\9f\92\8b\85{wmw\9f\95\8b\81{tmw\9f\95\88\85{tqw\9f\95\8b\85{tqw\9f\95\8b\85{wq¹ÚÐƹ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþøîäÚÓûñçÝÓÊùçáÓÆÀ¹³¬ÝÓƼ¶¬¥¢ÓÍÀ¹¬©\9f\9fÓƼ¶¬¥\9f\9cÓƼ³¬¥\9f\9cÓƼ³¬¢\9f\9cÐƹ³©¢\98\95Íƹ¯©\9f\98\95ÊÀ¶¬¢\9f\95\92Ƽ³¬¢\9f\92\8eù¯©\9f\98\8e\8bÀ¹¬¢\9f\95\8e\88À³©¢\98\92\88\85¼³¥\9f\95\8e\88\85¹¯¢\9f\92\8b\85\85¹¯¢\9c\92\8b\85\81¶¬\9f\98\8e\88\81~¶¬\9f\95\8e\88\85~³©\9f\98\8e\85\81{³©\9f\92\8b\85~{³¥\9c\92\8b\85~w¯¥\9c\92\8b\85~w¬¥\9c\8e\88\81{w¬¢\98\8e\85\81{w¬¢\98\8e\85\81wt¬¢\95\8e\85\81wt©\9f\95\8e\85~wt©\9f\92\88\85~wt©\9f\92\8b\85~tt©\9f\92\88\85~tq¥\9f\92\88\85{wq¥\9f\92\88\81{tq¥\9f\92\88\81{tq¥\9c\92\88\81{tq¥\98\92\88\81{qm¥\9c\8e\85\81wqm¢\9f\8e\88~wqm¢\9c\8e\88~{tm¢\98\8e\85\81wtm¥\9c\8e\85~wtm¢\98\8e\85~wqm¢\9c\8e\85~wqm¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqm¢\95\8e\85{wqm¢\95\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8b\85{wqm\9f\98\8b\85{wmm\9f\98\8b\85{wmj\9f\95\8b\85{wqm\9f\95\8b\85{wmj\9f\98\8e\85{wmm\9f\95\8b\85{wqj¢\98\8e\85{wqj\85{qjd]ZPMC96/,,%\1f\18\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\1f9/,%\1f\1f\182PF<62/,?]VPF?96Fg]VPFC<Fj`ZPMFCIj`ZPPFCMjd]SPICMmg]VPIFPmg]VSMFStjdZSPMSwmg]ZPPZ{qj`]VP]~tjg]ZP]~wmg`ZS`\81wqjd]Vg\85{tjd`Zj\85~tjg`Zj\88~wmj`]j\88\81wqjd]j\88\85{qjg`j\8e\85{tjg`m\8e\85{tmj`m\8e\85~wmj`q\92\85~wqjdq\8e\85\81wqmgq\92\88\81wqjgt\95\88\81wtjgt\95\8b\85{tmgt\95\8b\85{tmjw\95\8b\85{tmjw\95\8e\85~wmj{\95\8e\85{tmj{\98\8e\85~tmj{\98\92\85{wmj{\9c\8e\85~wqj{\9c\8e\85~wqj~\9c\8e\85~wqj~\9c\8e\85~wtj~\9c\92\85\81wtm~\9f\92\88\81wtm~\9c\92\88~{tm~\9f\92\85\81{tm~\9f\95\88\81wtm\81\9c\92\88\81{tm\81\9f\92\88\81{tm\81\9f\92\88\81{tm\81\9f\95\88\81{tm\81\9f\92\88\81{tm\81\9f\92\88\85{tm\81\9f\92\88\81{tm\81\9f\92\88\81{tm\81\9f\92\88\81{tm\85\9f\92\8b\85{tq\85\9f\92\8b\85{tm\85\9f\92\8b\85{tm\85\9f\95\8b\85{wq\85\9f\92\88\85{tm\81\9f\92\8b\85{wmÆÚÐƹ³©¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôîÿÿþôîäÚÓûîäÚÐÊÀ¼çÝÓÆÀ¹¯¬ÚÐƼ¶¬¥¥ÓÊÀ¹¯©\9f¢ÓƼ¶¬¥\9f¢ÓƼ³©¥\9f¢Ðʼ³¬¥\9f\9fÐƹ³©¢\9f\9fÍù¯©\9f\98\9cÍÀ¶¬¥\9f\95\98Ƽ¶©¢\9c\92\95ƹ¯¥\9f\95\92\8eù¬¢\9f\92\8b\8eÀ¶¬¢\98\92\8b\8b¼³©\9f\95\8e\88\88¹¯¥\9f\92\8b\85\85¶¯¢\9c\92\88\85\85¶¯\9f\98\8e\88\81\85³©\9f\95\8e\88\81\85³©\9f\95\8b\85\81\81¯©\9c\92\8b\85~~³¥\9c\92\8b\85~~¯¢\9c\8e\88\81{~¯¢\9c\92\88\85{{¯¢\98\8e\85\85{{¬¢\95\8e\85\81w{©¢\95\8e\85\81ww©¢\95\8b\85~w{©\9f\92\8e\85~ww©\9f\92\8b\85~ww¥\9f\92\8b\85{ww¥\9f\92\88\85{tw¥\9f\92\88\85{tt¥\9c\92\88\81{tt¥\9c\92\88\81{tt¥\9c\8e\85\81{tt¥\9c\92\88\81wtt¥\9c\8e\85\81wqt¢\9c\8e\85~wtq¢\98\8e\85~wqt¢\98\8e\85~wqq¢\9c\8e\85\81wqq¢\98\8e\85~wqq¢\9c\8b\85~wmt¢\98\8b\85~wqt¢\98\8e\85~wqq¢\9c\8b\85{wmq\9f\95\8b\85{wmq¢\98\8b\85~wmq¢\95\8b\85{tqq\9f\95\8b\85{wmq\9f\95\8b\85{wmq¢\95\8b\85{tmq\9f\95\8b\85{tqq\9f\98\8b\85{wmq\9f\95\8b\85{tmq\9f\95\8b\85{tmq\9f\95\8b\85{wmj\85{qjd]VPIC96//("\1f\18\ e\v\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\v\1f\18\11\v\ 4\ 4\ 1,62(%\1f\1f\18?PF?66/,P]SMF?96Pg]VPIC<Sj`ZPMFCVj`]SPFCVjg]SPICZmg]VPMC]qg]ZPMF]tj`]SPI`tmg]ZSPg{qj`]VPj~tjd]ZSj\81wmg`]Sm\81{qjd]Zq\85{tjd]Zt\85~tmg`]t\88~tmj`]w\88\81wmjg]w\88\81wqjg]{\8b\85{tjg`{\8e\85{tmg`~\8e\85{tmjd\81\92\85~wmjg\81\92\88~wmjg\81\92\88\81wqjdÊÓƼ³¬¢\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîîÿÿûîçá×ÐøîÝ×ÍƼ¼á×Êù³¬¬×ÊÀ¹¯©\9f©Ðƹ³©¢\9c¥Íù¯¥\9f\98¥Íù¯©\9f\95¥Íù¯¥\9f\98¥ÍÀ¶¬¥\9f\95¢ÊÀ¶¬¢\9f\95\9fƼ³©\9f\9c\92\9cƹ¯¥\9f\95\92\98ù¯¢\9f\92\8e\92¼¶©\9f\98\92\88\92¼¯©\9f\95\8e\88\92¹¯¥\9c\92\8b\85\8e¹¬¢\9c\92\88\85\8b¶¬\9f\98\8e\88\85\88³©\9f\95\8e\88\81\88³©\9f\92\8e\85\81\88¯©\9f\95\8b\85~\85¯¥\9c\92\88\85~\85¬¢\98\92\88\85~\85¬¢\9c\92\88\85{\85¬¢\9c\8e\85\81{\81©\9f\95\8e\85\81w\81©\9f\95\8e\85\81{t\8e\85wtjg`ZSMC<66/,%\1f\18\11\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\e%\1f\18\11\ e\v\a6<6/,%\1f\1fIPI?96/,S]SPF?<6Vd]SMF?9Zj]VPIF?]j`ZPMFC]j`ZSPFC`md]VPIC`mg]VPMFgqjdZSPIjwmd]ZPPmwqj`]VPm{tj`]ZPq~wmg]ZSw\85wqg`]Vw\85{qjd]Z{\85{tjd`Z~\85~wmg`]~\8b~wmj`]\81\88\81wqjd]\85\8b\81{qjg`\85\8b\85{tjg`\85\8e\85~tmj`\85\8e\85{tqjd\85\8e\88~wmjg\85\92\88~wtjgÐÐƹ³©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîîÿÿøîäÚÓÓôëÝÓÊù¼áÓÊÀ¹³©³ÓÊÀ¶¬©\9f¬Óƹ¯©\9f\9c¬Íù¯¥\9f\98¬Íù¯¥\9f\98¬Íù¯¥\9f\98¬Íù¬¥\9f\95¬ÊÀ¶¬¢\9c\92¥Æ¼³©¢\9c\92¢Æ¹¯¥\9f\95\92\9fù¯¢\9f\92\8e\9f¼¶¬\9f\9c\92\88\9c¼³¥\9f\92\8e\85\98¹¯¢\9c\92\8e\85\95¹¯¢\9c\92\8b\85\95¶¬\9f\95\8e\88\85\92¶©\9f\95\8e\88\81\92³©\9f\92\8b\85\81\8e¯©\9f\92\8b\85~\8b¯¥\9c\92\88\85~\8b¯¢\9c\92\88\81~\8b¯¢\9c\8e\85\85{\88¬¢\95\8e\85\81{\88¬\9f\98\8e\88~w\88©\9f\95\8b\85~ww\8e\85{qjg`ZSI?<62/,"\1f\18\11\v\a\ 4\ 1\ 4\ 1\0\0\0\0\0\ 4\11\a\ 1\0\0\0\0"(\1f\e\15\ e\v\a<<6/,%"\1fPSI?962/Z]SPF?<6]g]SPFC<`j`ZPIF?`j`]SPI?`jdZSPIFgmg]VPMFjmj]ZSPIjtjd]SPMmwmg]ZSPt{qj`ZVPw{qjd]ZS{~tmg]ZS~\81wqj`]V\81\85{qjd]Z\81\85{tjg]Z\85\88~tjg`Z\85\88\81tmjd]\88\88\81wqjd]\88\8b\85wqjg`\88\8e\85{qmg`\8b\8e\85{tmg`\8e\8e\88~tmj`\8e\8e\88~wqjd\8e\8e\88~wmjg\92\92\88\81wqjg\92\92\88\81wqjj\95\92\8b\81wqmg\92\95\8b\81{qjj\95\95\8e\85{tmj\95\95\8b\85{wmj\95\98\8e\85{wmj\95\95\8e\85~tmj\95\9c\8e\85~wqj\98\98\8e\85~wqj\98\9c\92\85~wqj\95\9c\8e\85~wqm\98\9c\8e\88~wtj\98\9c\8e\85~wtj\9c\9c\92\85~wtj\98\9c\92\88~wtm\9c\9f\92\88\81wtm\98\9f\92\88\81wtm\9c\9f\92\88\81wtm\9c\9c\92\88\81{tm\9c\9f\92\88\81{tm\9c\9f\92\88\81{tm\9c\9f\92\88\81{tm\9c\9f\92\88\85{tm\9c\9f\92\88\81{tm\9f\9f\92\88\81{tm\9c\9f\92\88\85{tm\9f\9f\92\88\85{tq\9f\9f\92\88\85{tm\9f\9f\92\88\85{wm\9f\9f\95\8b\81{tm\9f\9f\92\88\85{wqáÚÐƹ³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøþÿÿþøîäÚáûñçÚÓÊÃÍçÝÓʼ¹¯ÃÝÓƼ³¬¥¼Óʼ¶¬¥\9f¼ÓƼ¶¬¥\9f¼ÓƼ³¬¢\9f¼Óƹ³¬¢\9c¹Ðƹ³©¢\9c¹Íù³¥¢\9c¶ÊÀ¶¬¢\9f\95³Æ¼³©¢\9c\92¬Ã¹¯©\9f\98\8e¬À¹¬¢\9c\92\8b¥¼³¬\9f\98\92\88¢¹³¥\9f\95\8e\88¢¹¯¢\9f\92\8e\85\9f¹¬¢\9c\92\8b\85\9f¶¬¢\98\8e\88\85\9f³©\9f\98\8e\88\81\9f³©\9f\92\8e\85\81\98¯¥\9f\92\8b\85~\98¯¥\9c\92\88\85~\98¬¥\9c\92\88\85~\92¬¢\98\8e\85\81{\92¬\9f\98\8e\85\81{\92¬¢\95\8e\85\81{w\8e\85{tmg`]SMC<62/,%\1f\18\11\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\ e\ e\a\ 4\0\0\0\0,(\1f\e\11\ e\v\ 4C<6/,("\1fZPI?96//d]SMF?96jd]SPFC<jj`VPIC?jj`ZSPFCmjdZSPFCmmg]VPICtmg`VSPFwqj`]SPI{tmg]VPM~{qj`]SP\81~tjd]ZS\85~wmj`ZS\85\81wqj`]V\85\85{qjd]Z\88\85~tmg]Z\8b\88~tmg`]\8e\88~wmj`]\8e\88\81{qjd]\92\8b\85{tjg`\92\8b\85{qjj`\92\8e\85{tmj`\95\8e\85~tmj`\95\92\85~wmjg\95\92\88~wqjg×Ðƹ¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîûÿþøîáÝÓÝñëÝÓÆùÊá×Êù³©ÃÓÊÀ¶¬¥\9fÀÍƹ³©¢\9cÀÐù¬¥\9f\98ÀÍù¯¥\9f\98ÀÍù¯¥\9f\98ÀÊö¯¥\9f\95¼Ê¼¶¬¥\9f\95¹Æ¼³©¢\9c\92¶Æ¹¯¥\9f\98\92³Ã¶¬¢\9f\92\8b¯¼³©\9f\98\92\8b¯¹³¥\9f\95\8e\85¬¹¯¢\9c\95\8b\85©¶¬¢\98\92\88\85©¶¬\9f\95\8e\88\81¥³©\9f\98\8e\85\81¥³©\9f\95\8b\85\81¢¯¥\9f\92\8b\85~\9f¯¢\9c\92\88\85~\9f¯¢\98\8e\8b\85~\9f¬¢\9c\8e\88\81{\9f©\9f\95\8e\85\81{\9c¬\9f\95\8e\85\81w\9c©\9f\95\8b\85~w\9c©\9f\92\8b\85~w\9c©\9f\92\8b\85~t\98¥\9f\92\88\85~t\98¥\9f\92\88\81{t\95¥\9c\92\88\85{t\98¥\9c\92\88\81{t\95¥\9c\8e\88\81{t\95¥\9c\92\88\81wq\95¢\9c\92\88\81wt\95¢\9c\8e\85\81wq\92¢\98\8e\85~wt\95¢\98\8e\85\81wq\92¢\98\8e\85~wq\92¢\98\8e\85~wq\92\9f\98\8e\85~wq\92¢\98\8e\85{tq\92\9f\95\8b\85{tm\92\9f\98\8b\85{wq\92\9f\95\8b\85{wq\95\9f\98\8b\85{wm\92\9f\95\8b\85~tm\92\9f\98\8b\85{tm\92\9f\98\8b\85{tq\92\9f\95\8e\85{tq\92¢\98\8b\85{tm\92\9f\95\8b\85{wm\92\9f\95\8b\85{wm\95\9f\95\8b\85~tm\92\9f\95\8b\85{tm\92\9f\95\8b\85{tm\92\9f\95\8b\85{wm\92\9f\92\8b\85{wmt\85{qjd]VSIC96/,("\1f\18\ e\v\a\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0%\1f\18\ e\v\ 4\ 1\0C6/,%\1f\1f\18ZPF<62/,g]SMFC96md]SPFC<qj`ZPIF?tj`ZSMFCqmd]SPICtmg]SPMFwmj]ZPPF{tjdZSPM~wmg]ZSM\85{qj`]VP\85{tjd]ZP\88\81tjg`ZS\88\81wqj`]Z\8e\85{qjd]Z\8e\85~tjg`]\92\85~tmj`]\92\88~wmjd]\95\88\81wqjg]\95\8b\81wqjg`\98\8b\85{qjg`\9c\8e\85{tmg`\9c\92\85~tmjd\9c\8e\85~tmjd\9f\92\88~wmjd\9f\92\88~wqjd\9f\92\88\81wtmg\9f\92\8b\81wqjg\9f\95\8b\81{tmg¢\95\8b\81{tmj\9f\98\8b\85{tmj¢\95\8b\85{tmj¢\95\8e\85~wmj¢\98\8e\85~tmj¥\98\8e\85~wqj¥\9c\8e\85~wqj¢\98\8e\85~wqj¥\98\8e\85~wqj¥\9c\92\85~wqj¥\9c\92\85~wqm¥\9c\92\85\81wtm©\9c\92\88~wtj©\9c\92\88\81wtm©\9c\92\88\81{tj©\9c\92\88\81{tm©\9c\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81wtm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\85{tq©\9f\95\8b\85{tm¥\9c\92\8b\81{tm©\9c\95\88\85{wm©\9f\92\8b\81{tq©\9f\92\88\85{wmä×Íù¯¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþøîäÚøûñäÚÓÊÃäçÝÓƼ¹¯ÚÚÐƼ³¬¥ÓÓʼ¹¯¥\9fÓÓƼ³¬¢\9fÓÐƼ³¬¢\9fÓÓƹ¯©¢\9cÓÐù³©\9f\9cÐÍù¯©\9f\9cÊÊÀ¶¬¢\9f\98ÆƼ³©¢\98\92Æƹ¯¥\9f\95\8eÀÀ¶¬¢\9c\92\8e¼¼³¬\9f\98\92\88¹¼³¥\9f\95\8e\85¹¹¯¢\9c\92\8b\85¹¹¬¢\9c\92\88\85¶¶¬¢\95\8e\88\85¶³©\9f\92\8e\88\81³³©\9f\92\8b\85~³¯¥\9c\92\88\85~¯¯¥\9c\8e\88\85~¬¬¢\9c\8e\88\81~¬¬¢\98\8e\85\81{©¬\9f\98\8e\88\81{©©\9f\98\8e\85~{©©\9f\92\8b\85~w¥©\9f\92\8b\85~w¥©\9f\92\88\85~w¥¥\9f\92\88\85~t¢¥\9f\92\88\81{t¢¥\9f\92\88\81{t¥¥\9c\92\88\85{t¢¥\9c\8e\85\81{t¢¢\9c\8e\88\81wq¥¢\98\8e\85\81{t¥¢\9c\8e\85\81wq¢¢\9c\8e\85\81wq¢¢\98\8e\85~wq¢¢\98\8e\85~wq¢¢\98\8e\85~wq¢¢\98\8e\85~wq¢¢\95\8e\85~tq¢¢\95\8e\85~wq¢¢\95\8b\85~wq¢¢\95\8e\85~tq¢\9f\98\8b\85~tm¢\9f\95\8b\85~tm¢\9f\95\8b\85{tq¢\9f\95\8b\85{tm¢\9f\98\8e\85{tm¢\9f\95\8b\85~tm¢\9f\95\8b\85{tm¢\9f\92\8b\81{tm¢\9f\95\88\81{tm¢\9f\95\88\85{tm¢\9f\95\8b\85{wm\9f\9f\95\8b\85{tm~\85{qj`]ZPIC96//(%\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0("\18\11\v\a\ 4\ 1C62,%"\1f\18ZPF?96/,j]SMF?<6qg]SPFC<tj]ZSPF?wjdZSPICwjd]SPIC{mg]VPPF{qg`ZSPF\81tj`ZVPM\85tjg]VPM\85wqj`ZSP\8b{qjd]ZS\8b~tmj`]S\8e\81wmj`]V\8e\85{qjd]V\92\85~tjd`Z\95\85~tmg`]\95\88~wmjd]\95\88\81wmjd]\98\8b\81wqjd]\9c\8b\85{qjg`\9f\8e\85{qmj`\9f\8e\85~tmj`\9f\8e\85~wmjd\9f\92\85~wmjd\9f\92\88~wqjd¢\92\88\81wqmg¢\92\88\81wqmg¢\95\8b\81wtmg¢\95\8b\85{qmj¢\95\8b\85{tmg¢\98\8e\85{tmj¥\95\8e\85{tmj¥\95\8e\85~tqj¥\9c\8e\85~tmj©\98\8e\85~wqj¥\9c\8e\85~wqj¥\98\8e\85~wqj©\9c\92\85~wqm©\9c\92\85~wqj©\9c\8e\85\81wqj¥\9c\92\85\81wtm©\9c\92\88\81{qm©\9c\8e\88\81wtm©\9c\92\88\81wtm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9c\92\88\81{qm©\9c\92\88\81wtm©\9f\92\88\81{tm©\9f\92\88\85{tm¬\9f\92\88\81{tm©\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm©\9f\92\88\81{tm¬\9f\92\88\81{tmäÚÐƹ³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôîäÚþûîäÚÐÊÃîçÝÓÆÀ¹¯äÚÐü¶¬¥áÓʼ¹¬¥\9fÝÓƹ³¬¢\9fÝÓƼ³©¢\9fÝÐƹ³©¢\9cÝÐù¯©\9f\9c×Íù¬¥\9f\98Óʼ¶¬¢\9f\95Óƹ³©¢\9c\92Íù¬¢\9f\95\8eÊÀ¶©¢\9c\92\8bƼ³©\9f\95\92\88ƹ¯¥\9f\92\8e\88ù¬¥\9c\92\8b\85ö¬¢\9c\92\88\85¼¶©¢\95\8e\88\81¼³©\9f\98\8b\85\81¹³¥\9f\92\8b\88\81¹¯¥\9c\92\8b\85~¹¯¢\9c\8e\88\85~¶¬¢\98\8e\88\81~³¬¢\98\8e\88\81{¶¬\9f\95\8b\88~{³¬\9f\95\8e\85~w³©\9f\95\8b\85~w³©\9f\95\8b\85{w³©\9f\92\88\85{w³¥\9f\92\88\81{t¯¥\9f\92\88\81{t³¥\9f\92\88\81{w¯¥\9c\8e\88\81wt¬¥\9c\92\85\81{t¬¥\9c\92\85\81{t¬¥\98\8e\85~wq¬¢\98\8e\85~wq¯¢\98\8e\85~wq¬¢\98\8b\85~wq¬¢\95\8e\85~wq¬¢\98\8e\85~wq¬¢\98\8e\85~wq¬¢\95\8e\85~wq¬\9f\95\8b\85~wq¬\9f\95\8b\85{tm¬¢\98\8b\85~tq¬\9f\92\8e\85{wm¬\9f\95\8b\85{tm¬¢\98\8b\85~tm¬\9f\95\8e\85~tq¬\9f\95\8b\85{wm©\9f\95\8b\85{tm¬\9f\95\8b\85{tm¬\9f\95\88\81{tm©\9f\92\8b\85{wm¬\9f\95\88\85{tq©\9f\95\88\85{wm©\9f\92\88\85{wm\88\85{mjd]VSMC<6//,(\1f\e\11\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\a\ 1\0\0\0\0\0,\1f\18\11\ e\v\ 4\ 4C92,%\1f\1f\18ZPF?62/,j]SMF?96qd]SMFC<wg]VPMF?wj`ZPMFCwjdZSPIC{mg]SPIC{mj]ZPIF~qj`ZSPI\85tmg]VSM\85wmg`ZSP\88{tj`]VP\8b~tjg`ZS\8e\81wmj`]S\92\85{qjd]V\92\85{qjg]Z\92\85~qmg`]\95\88~wmgd]\9c\88\81wmjd]\98\8b\81wqjd]\9c\8b\85{qjg`\9c\8b\85{tmg`\9f\8e\85{tmj`\9f\8e\85~tmjd\9f\92\88~tmjd\9f\92\88~wqjd¢\92\88\81wqjg\9f\95\88\81wqjg¢\95\8b\81wtmg¢\95\88\85{qmj¢\92\8b\85{tmj¢\95\8b\85{tmj¥\95\8e\85{tmj¢\98\8e\85{tmj¥\98\8e\85~wqj¢\98\8e\85{wqj¥\98\8b\85{wqj¥\95\8e\85~wqj¥\9c\8e\85~wqj¥\98\8e\85\81{tj¥\9c\92\85\81wtj©\9c\8e\88\81wqj©\9c\92\88\81wqj©\9c\8e\85\81wtj©\9f\92\88~wtm©\9c\92\85\81{tm©\9c\95\88\81wtm©\9f\92\88\81wtj©\9f\92\88\81wtm©\9f\92\88\81wtm©\9f\92\88\81wtm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\95\88\81{tmç×Ðù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôîäÚÿûñáÚÐÆÀôçÚÐƼ¶¯ëÚÐü³¬¢äÓʼ¶¬¥\9fáÐƹ³©¢\9fáÐƹ³¬¢\9fÝÍƹ³©¢\9fÝÐù¯©\9f\9cÚÍÀ¹¯¥\9f\98×ʼ¶©¢\9f\95Óƹ¯©\9f\98\92Óù¬¢\9f\95\8eÍÀ¶©¢\9c\92\88ʼ¯©\9f\98\8e\88ƹ¬¥\9f\95\8e\85ƹ¬¥\9c\92\8b\85ƹ¬¢\98\92\88\85ó©\9f\95\8e\88\85ó©\9f\95\8e\85\81À¯©\9f\92\8b\85~À¯¥\9c\92\8b\85~¼¯¥\98\8e\8b\81{¹¬¢\98\8e\88\81{¹¬¢\98\8e\88\81{¹¬\9f\98\8e\85~{¹©\9f\95\8b\85~w\98\8b\85{qjg`ZPIC<62/,"\1f\15\11\v\a\ 4\v\ 1\0\0\0\0\0\0\e\ e\a\ 4\0\0\0\0/%\1f\18\11\ e\v\ 4F<6/("\1f\1fZPI?96/,g]SMF?96md]SMFC<qg]VPIC?tj`ZPIF?wj`ZSPICwjd]VPIC{mg`VPMF~qj`ZSPI\81tmd]ZSM\85wmg`ZSP\88{tjd]ZS\88~tmj`ZS\8b\81wqj`]V\8e\85{qjd]Z\92\85{tjg`Z\92\85~tmg`]\95\88~wmj`]\95\88\81wqjg]\95\88\85{qjg]\98\8b\85{qjj`\9c\8b\85~tmjd\9f\8e\85~tmjd\9f\8e\85~wmjd\9f\8e\88~wqjdÚÐƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓÿôëÝÓÊùñá×ÊÀ¹¯¬çÓʼ¶¬¥\9fÝÐù¯¥¢\9cÝÊÀ¶¯¥\9f\98ÝÍÀ¶¬¥\9f\95ÚÊÀ¹¬¥\9f\95Úʼ³¬¢\9f\95×Ƽ¶¬¢\9f\95×ƹ¯©\9f\98\92Óù¬¥\9f\95\8eÓÀ¶¬¢\9c\92\8b͹³©\9f\98\92\88ʹ¯¢\9f\92\8e\85ƹ¯¢\9c\92\8b\85ƶ¬¢\95\8e\8b\81ó©\9f\95\8e\88\81À³©\9f\95\8e\85~¼¯¥\9f\92\8b\85~¼¯¥\9c\92\88\85{¼¬¢\9c\8e\88\85{¼¬¢\98\8e\85\81{¹¬¢\98\8e\88\81{¹¬\9f\95\8e\85\81{¹¬\9f\92\8b\85~w¹©\9f\92\8b\85~w\98\8b\81{qjg`ZSIC<62/,%\1f\18\11\ e\v\ 4\v\ 4\ 1\0\0\0\0\0\e\11\v\ 4\0\0\0\0/(\1f\18\15\11\v\aC<6/,%"\1fZPI?96/,g]SMF?<6mdZSMF?<qg]SPIC<tj`ZPIFCtj`ZSMICwm`]VPIC{mg]ZSMF{qj`ZSPF\81tm`]VPM\85wmj`]SP\85{qjd]VP\88~tjg]ZS\8b\81wmj`]V\8b\85wmj`]V\8e\85{qjd]V\92\85~tmg`Z\92\85~wmj`]\92\88\81wmj`]\98\88\81wqjd]\98\8b\85{qjg`\98\8e\85{qjj`\9c\8e\85{tmjd\9c\8e\85~tmj`\9c\8e\85~wmjgÚÐƹ³©\9f\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûøîÿÿþøîáÚÓþñçÚÓÊùñá×ÊÀ¹¯©áÓƼ³¬¥\9fÝÍù¯¥\9f\98ÚÊÀ¹¬¥\9f\95ÚÊÀ¶¬¥\9f\98×ʼ¶¬¢\9f\95×Ƽ¶¬¢\9c\92×Ƽ³©¢\9c\92×Ƽ³©\9f\98\92Óù¯¥\9f\95\8eÐÀ³¬¢\9c\92\8bʼ³©\9f\98\8e\88ʹ¯¥\9f\92\8e\85ƹ¬¢\9c\92\8b\85ƶ¬\9f\98\8e\88\85Ƴ¬\9f\95\8e\88\81ó©\9f\95\8b\85~À¯©\9f\95\8b\85~¼¯¥\9c\92\88\85{¼¬¢\98\92\88\85{¹¬¢\98\8e\88\81{¹¬\9f\95\8e\85\81{¹¬\9f\95\8e\88~w¹©\9f\95\8b\85~w¹¥\9f\92\8b\85~w¹¥\9f\92\88\85~t¶¥\9f\92\88\81{t¶¥\9c\8e\88\81{t³¥\9c\92\88\81{t³¢\98\92\88\81{t¶¢\98\8e\85\81{t³¢\98\8e\85~wt³¢\98\8e\85~{q³¢\98\8e\85~wq³¢\95\8e\85~wq¯¢\98\8b\85~wq¯\9f\98\8b\85{wq¯¢\98\8b\85~wm¯\9f\95\8b\85~wq¯\9f\98\8b\85{wm¯\9f\95\8b\85~wq¯\9f\95\8b\85~wq¯\9f\95\8b\85{tq¯\9f\95\8b\85~wm¬\9f\95\88\85{tm¬\9f\92\88\85{tm¬\9f\95\88\85{tm¬\9f\92\8b\85{wm¬\9f\95\88\85{tm¯\9f\92\88\85{tm¬\9f\92\8b\81{tm¬\9f\92\8b\81{tm¬\9f\92\88\85{wm¬\9f\95\8b\81{tm¬\9f\92\88\81{tm¬\9f\95\88\81{tm¬\9f\92\88\85wtm\92\81wmj`]VPI?96/,("\1f\15\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 1\0?6/,"\1f\1f\18SMF<6//,d]SMC?96j`ZSMC?<qj]VPIF?qj`ZPMF?tj`ZSMF?wjd]SPICwmg]VPMF{qj`ZSPI~tmd]VPM\81wmg`ZSP\85{qj`ZVP\88~tjg`ZS\8b\81wmj`]S\8b\81{qjd]Z\8e\85{qjg`Z\8e\85~tjg`]\92\85~tmgd]\92\88\81wmjd]\95\88\81wqjg]\95\8b\81wqjg`\98\8b\85{tmg`\9c\8e\85{tmjd\9c\8e\85{tmjd\9c\8e\85~wmjd\9f\92\85~wqjg\9c\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqmg\9f\95\88\81{tmj\9f\92\8b\81{tmj\9f\92\8b\85{tmg¢\95\8b\81{tqj\9f\95\8e\85{tmj\9f\98\8e\85~tqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\95\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\88\81wqj¢\9c\8e\88~wqj¢\98\8e\85~wqj¥\9c\8e\85~wqm¥\98\8e\85~wqj¥\9c\92\85~{tj¥\9c\92\88\81wqm¥\9f\8e\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88~{tm¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9f\92\88\81{tmä×ÊÀ¹¯¬¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûñëá×ÿûîáÚÐÆÀôäÚÐƼ¹¯ë×Íƹ³¬¥áÓƼ¶¬¥\9fáÓƼ³¬¢\9cÝÐƹ¯©¢\9cÝÐù¯©¢\9cÚÐù¯©\9f\95ÚÊö¯¥\9f\98×Ƽ³©¢\9c\92Óƹ¯©\9c\98\8eÐù¬¥\9f\95\8eͼ¶¬\9f\98\92\8bƹ³¥\9f\95\8e\88ƹ¯¥\9f\92\8b\85ƹ¬¢\9c\92\8b\85ö¬¢\9c\8e\88\81ó©\9f\95\8e\88\85À¯©\9f\95\8b\85\81¼³¥\9c\92\8b\85~¼¯¢\9c\92\88\85{¹¬¢\98\92\88\85{¹¬¢\98\8e\88\81{¹¬\9f\95\8e\85~{¹¬\9f\95\8b\85~w¹©\9f\92\8b\85~w¶©\9f\95\8b\85~t¶©\9f\92\88\85~w³¥\9c\92\88\81{t³¥\9f\92\88\81{t³¥\9c\92\88\81{t³¢\98\8e\85\81{q¯¢\98\8e\85~wt¯¢\98\8e\85~wq¯¢\98\8e\85~wt¯¢\95\8e\85~wq¯\9f\98\8e\85~wq¬¢\98\8b\85{wm¯\9f\95\8b\85~wq¬¢\95\8b\85{wq¬\9f\95\8e\85{wm¬\9f\95\8b\85{wq¬\9f\95\8b\85{tm¬\9f\92\8b\85~tm¬\9f\95\8b\85{wm¬\9f\95\8b\85{tm¬\9f\95\8b\85{tm¬\9f\92\88\85{tm¬\9f\95\88\81{tm¬\9f\92\8b\81{tm¬\9f\92\88\81wtm¬\9f\92\8b\81{tm¬\9f\95\88\81{tm¬\9f\92\88\81{tm¬\9f\95\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81wtm¬\9f\92\88\85{qm\8e\85wqj`ZVPI?96/,("\1f\15\ e\a\ 4\ 4\0\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\1f\15\ e\v\ 4\ 4\0<6/,%\1f\e\18SPC<62/,dZPMC?96jdZSMFC<mj]VPMF?qj`ZPMFCtj`ZSPICtjdZSPIFwmg]ZPMF{qj`ZSPI~tjd]VSP~wmj]ZSP\85{qjd]VS\85~tjg]ZS\88~wmj`]V\8b\81wqjd]Z\8b\85{qjd`Z\8e\85{qmg`Z\92\85~tjg`]\92\88\81tmjd]\92\88\81wqjg]\95\8b\85wqjg`\95\8b\85wqmg`\95\8e\85{tmgd\98\8e\85{tmj`\98\8e\85~tmjd\9c\92\88~tmjd\9c\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\8b\81{tjg\9f\92\8b\85wtmj\9f\95\8b\81{qmj\9f\95\8b\85{tmg\9f\95\8e\85{tmj\9f\95\8e\85{tmj¢\95\8e\85{tqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\98\92\85{wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¥\9c\8e\85\81wqj¢\9c\8e\85~wqm\9f\9c\92\85\81wtj¥\9c\92\85\81wqm¥\9c\8e\88\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81wtm¢\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tmä×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþôîäÚÿûîáÚÐÆÀñçÚÐƼ¶¯ç×Íù³¬¢ÝÓƼ¶¬¥\9fÝÓƹ¯©¢\9cÚÐù¯©¢\9cÚÐù¯©\9f\9c×Ðù¯©\9f\9c×ÍÀ¹¬¢\9f\95ÓƼ³©¢\9c\92Óƹ³¥\9f\98\92Íù¬¢\9f\95\8eÊÀ³©\9f\98\92\8bƼ¯©\9f\95\8e\88ù¯¥\9f\92\8b\85ù¬¢\9c\92\88\85À¶©¢\95\92\88\85¼¶©\9f\92\8e\88\81¹³¥\9f\92\8e\85~¹¯¥\9f\95\8b\85~¹¯¢\9c\92\88\85~¹¬¢\9c\8e\88\81{¹¬\9f\98\8e\88\81{¶¬\9f\95\8e\85~{¶©\9f\95\8b\85\81w³©\9f\92\8b\85~w\98\8b\81wmjg`ZPIC<92/,%\1f\18\15\11\v\ 4\ e\ 4\ 1\0\0\0\0\0\18\11\v\ 4\ 1\0\0\0,%\1f\18\15\ e\v\ 4C<6/,%"\1fVPI?96/,d]SIC?96jdZPMFC<mg]SPIF?qj`VPMF?qj`ZPPFCtjd]SPICwmg]VPMFwqj`ZSPF{tjd]VPM\81wmg`ZSP\85{qj`]VP\85~tjg]VS\88~wmg`ZS\88\81wqjd]V\8b\85{qjd]Z\8e\85{qjg`Z\8e\85~tmg`Z\92\88~tmjd]\92\88\81wqjg]\92\88\85wqjg`\95\88\81{tjj`\98\8e\85{tmj`\98\8e\85~wmj`\95\8e\85{tqj`×ÍÀ¹¯¥\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûñëÿÿþôîá×ÓþñçÚÐÆÀ¹ëÝÓƼ¹¯©ÝÓƼ³¬¥\9f×ÊÀ¹¬¥\9f\9cÓÊÀ¶¬¥\9f\95Óʼ¶¬¥\9f\95ÓƼ¶¬¥\9f\95ÓƼ¶©¢\9f\95ÓƼ³©¢\9c\92Ðƹ¯¥\9f\98\92Êù¬¢\9f\92\8eÊÀ³©\9f\9c\92\8bù³¥\9f\98\8e\88ù¯¢\9c\95\8e\85ù¬¢\98\8e\88\85¼¶©\9f\95\8e\88\85¼³¥\9f\95\8e\88\81¼³©\9f\92\8e\85\81¹¯¥\9f\95\8b\85~¹¯¢\9c\8e\88\81~¹¬¢\98\8e\88\81{¶¯\9f\95\8e\88\81{³¬\9f\98\8b\85~{³©\9f\95\88\85~w³©\9f\95\8b\85~w³©\9c\92\88\85~t³©\9c\92\88\81{w¯¥\9f\92\88\81{t¬¥\9c\8e\88\81{q¯¢\9c\8e\85\81{t¯¥\9c\8e\85\81{t¯¥\98\8e\85\81wt¬¢\98\8e\85\81wt¬¢\98\8e\85~wt©¢\98\8e\85\81wq©¢\95\8b\85~wq©¢\98\8b\85~wm©¢\95\8b\85{wm©¢\95\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\81~tq©\9f\95\8b\85~tq©\9f\92\8b\85~wm©\9f\95\8b\81~tq©\9f\92\8b\85{tm©\9f\92\8e\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\8b\85{tm©\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\95\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm\8b\81wmj`]VPF?62/,("\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 4\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 1\0<6/("\1f\e\15SMC962/(`ZPIC<92j`ZPMFC<mg]VPIC?qj`VPIF?qj`ZPMF?tjd]SPICtmg]SPMCwqg`ZSMI{qjd]SPM\81wmg]ZSP\81{qjd]VP\85~tjd]ZS\88~tmj`]V\8b\81wmjd]V\88\85wqjd`Z\8b\85{tmg`]\92\85~wmjd]\92\88~tqjd]\92\88\81wmjg]\92\8b\81wqjg`\95\8b\81{qjj`\95\8b\85{tjg`\95\8e\85{tmj`\98\8e\85~tmjd×Êù¯©\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîäÚÓûñäÚÓÊùëáÓÊÀ¹¯©ÝÓƼ³¬¢\9f×Íù¯©\9f\98ÓÊÀ¹¬¥\9f\95ÓÊÀ¶©¢\9f\95Óʼ¶¬¥\9f\95Óʼ¶©¢\9c\95Óƹ¶©¢\98\92Íƹ¯¥\9f\98\92Íù¬¢\9f\92\8bÊÀ³©\9f\9c\92\8bƼ¯©\9f\95\8e\88ù¯¥\9c\92\8b\85À¹¬¢\98\92\88\85À³©\9f\95\8e\88\81¼³©\9f\92\8e\85\81¹³¥\9f\92\8e\85~¹¯¥\9c\92\8b\85~¹¯¢\9c\8e\88\85~¹¬¢\95\8e\88\81{¶¬¢\98\8b\88\81{³©\9f\95\8b\85\81{³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯©\9f\92\88\85{w\92\8b\81wqjd]ZPM?962/,"\1f\18\15\ e\v\a\v\ 4\ 1\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,%\1f\18\11\ e\a\ 4C96/,"\1f\1fVMF<96/,`ZPIC?96j`ZPIC?9jg]SPIC<mg]VPMF?qj`VPMF?tj`ZSPICtmgZVPIF{mj]ZPPF{tj`ZSPM\81tjd]ZSP\85{mg`ZVP\85{qjd]ZS\88~tmg]ZS\88\81wmj`]V\88\85{qjd]V\8e\85{qjg`Z\8e\85~tmg`Z\92\88~wmj`]\92\88~wmjd]\95\8b\81wqjd]\92\8b\85wqmg`\98\8e\85{tmj`\95\8e\85{tmj`\98\8e\85~tmjd×Íù¯¥¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôîáÚÓûñäÚÓÆÀ¹ëÝÓƼ¶¯©ÝÓƹ³¬¥\9f×ÊÀ¹¯¥\9f\98ÓƼ¶¬¥\9f\95ÓƼ¶¬¢\9f\95ÓÆÀ¶¬¢\9f\95ÓƼ¶¬¢\9c\92Ðƹ³©¢\9c\95Ðƹ¯¥\9f\98\92ÍÀ¹¯¥\9f\95\8eƼ³©\9f\9c\92\8bƼ³©\9f\95\8e\88À¹¯¥\9c\92\8b\85À¹¬¢\98\92\88\85¼¶¬\9f\95\8e\85\81¼³©\9f\95\8e\85\81¹³¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¹¯¢\9c\8e\88\81{¶¬¢\95\8e\88\81{³¬\9f\98\8e\88\81{³¬\9f\98\8b\85~w³©\9f\92\8b\85~w³©\9f\95\88\85~w¯©\9f\92\88\85{w\92\8b\85wqjd]VPF?96//("\1f\15\11\v\a\ 4\a\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0,"\1f\18\11\ e\a\ 4C92/(%"\eSPF?96/,`ZSIC<96j`ZPMC?9mg]SPIF<mg]VPMF?qj`ZPMFCtjdZSPIFtmg]VPMFwqj`ZSPI{tjd]VPM~wmg`ZSP\85{qj`]VP\85~tjd]ZP\85~wmg]ZS\88~wmj`]V\8b\81wqjd]Z\8e\85wqjg`Z\8e\85{tjg`]\92\85~tmj`]\92\88~wmjd]\92\8b\81wqjg]\95\8b\85{qjg`\98\8b\85wtmg`\98\8e\85{tmj`\95\8e\85{tmjd\98\92\85~wmjd\9c\92\88~wmjg\9c\8e\85\81tqjg\9f\92\88\81wqjg\9c\92\88\81wqjg\9f\95\88\81{qjg\9f\92\88\85{tmg\9f\92\8b\85{tmj\9f\95\8b\81~tmj\9f\95\8b\85{tqj\9f\98\8e\85{tmj\9f\95\8e\85~wqj\9f\98\8b\85{tqj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wtj\9f\9c\8e\85~wqj¢\98\8e\85~{qm¢\9c\8e\85~{tj¢\9c\8e\88\81wqm¢\98\8e\85\81{tm¢\9c\8e\88\81wtj¥\9c\8e\85~wtj¢\9c\92\88\81{tj¥\9c\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88~wtj¥\9c\92\88\81wtj¢\9c\92\88\81wtjáÓÍÀ¹¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûøÿÿÿûôëáÚÿûîä×ÍÆÀñçÚÐƼ¹¯ä×Íù³©¢ÝÓƹ³¬¥\9fÚÓü³©\9f\9c×Ðƹ¯©\9f\9c×Íù¯©\9f\9cÓÍù¯¥\9f\9cÓÊÀ¹¬¥\9f\95Óʼ³¬¢\9c\92Íƹ¯¥\9f\98\92Êö¯¢\9f\92\8eƼ³©\9f\98\92\88ƹ¯¥\9f\95\8e\88ù¬¢\9c\92\8b\85À¶©¢\98\92\88\85¼¶©\9f\95\8e\88\85¼³©\9f\92\8e\85\81¹³¥\9c\92\8e\85\81¹¯¥\9c\92\8b\85{¹¬¢\9c\8e\88\85~¶¬¢\98\8e\88\81{¶¬\9f\95\8e\88\81{³¬\9f\98\8b\85~{³©\9f\92\8b\85~w¯©\9f\92\88\85~t¯¥\9f\92\88\85{t¯¥\98\92\88\85{t¬¢\9c\92\88\81{t¬¢\9c\8e\85\81{t¬¢\98\8e\85~wt¬¢\95\8e\85\81{t¬¢\98\8e\85~wq¬¢\98\8e\85\81wq¬¢\98\8e\85~wt©¢\98\8e\85~wq©¢\95\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85{tm©\9f\95\8b\81~tm©¢\92\8b\81{tm©\9f\92\8b\81{tm©\9f\92\8b\85{tm©\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tj¥\9f\92\88~{qm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88\85{tm¥\9f\92\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88~{tm¥\9f\92\88~wtm\88\81wmj`]VPF?96/,("\1f\18\ e\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\a\0\0\0\0\0\0%\1f\15\11\ e\a\ 4\ 1?62,%"\1f\18SMC?66/,dZSIF?96j`ZSMFC<mg]SPIF?qj]VSMFCqj`ZSPFCtjdZSPICwjd]VPMC{mj`ZSPI{qjdZVPM~wmg`ZSP\85wqj`ZVP\85{tjd]ZP\88~tjg]ZS\88\81wmj`]V\8b\85wqjd]V\8e\85{qjg`Z\8e\85{tmg`Z\8e\85~wmj`]\92\88\81wmjd]\92\88\81wqjg]\95\8b\81wqjg`\95\8b\85{qmg`\95\8e\85{tmj`\95\8e\85{tmj`\98\8e\85~tmj`\9c\92\85~wqjd\9c\92\88~wqjg\9c\92\88\81wqmg\9f\92\88\81wtmj\9f\92\88\85{tjg\9f\92\88\81{qmj\9f\92\8b\85{qmj\9f\95\8b\85{tmj\9f\98\8b\85{tmj\9f\95\8e\85{tqj\9f\98\8e\85{wqj\9f\98\8e\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj\9f\95\8e\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj¢\95\8b\85~wqj¢\98\92\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85\81wtm¢\9c\8e\85~wtj¢\9c\8e\85\81wqj¥\9c\8e\85~{qj¢\9c\8e\88~wtj¥\9c\92\85~wtm¥\9c\8e\85\81wqm¥\9c\92\85\81wqj¢\9c\92\85~wtj¥\9c\92\85\81wqmá×Êù¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûôëá×ÿøîá×ÐƼñä×ÍÃÀ¶¬äÚÍù³¬¢ÝÓƼ³¬¥\9fÚÐù¯©¢\9cÚÍù¯©\9f\98ÚÍù¯¥\9f\9c×Êö¯¥\9f\95ÓÊÀ¶¬¢\9f\98ÓƼ³©¢\9c\92Íƹ¯¥\9f\95\92ÊÀ¶¬¢\9c\95\8bƼ³©\9f\98\92\8bƹ¯¥\9f\95\8e\88À¹¬¢\9c\92\8b\85À¶¬¢\98\8e\8b\85¼³©\9f\95\8e\88\81¹¯¥\9f\95\8e\88~¹¯¥\9c\92\8b\85~¹¯¢\9c\92\88\85~¹¬¢\98\8e\88\85{¶¬¢\95\8e\85\81{¶¬\9f\98\8e\85\81w³¬\9f\95\8e\85~w¶©\9f\92\8b\85~w³©\9f\92\88\85~t³¥\9f\92\8b\81~w³¥\9c\92\88\81{t¯¥\98\92\88\81{t¬¥\9c\92\88\81{t¯¢\9c\8e\85\81{q¬¢\98\8e\85~{q¬¢\98\8b\85~wq¬¢\95\8e\85~wq¬¢\95\8b\85~wm¬\9f\95\8b\85~wm©\9f\95\8b\85{wm©\9f\95\8b\81~wq©\9f\98\88\85~wm©\9f\95\8b\85{wq©\9f\95\88\85{wm©\9f\92\8b\81{tm©\9f\95\8b\81{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\95\88\81{tm¥\9f\92\88\81{tj©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\95\88~{tm¥\9f\95\88\81{tm¥\9f\92\85\81{tm¥\9f\92\88\81{tm¥\9f\95\88\81{tm¥\9f\92\88\81wtj¥\9c\92\88\81{qm¥\9f\92\88\81{tm\88~wmj`]VPIC96/,(%\1f\18\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 4\0<6/(%\1f\e\18SIC962/(dVSIC<96j`ZPMF?9mg]VPFC?qg`ZPIF?qj`ZPMFCtj`ZSPICtmg]VPMCwqj]VPPF{qj`]SPI\81wmd]ZSP\81wqj`]VP\85{tjd]ZS\85~wjg]ZS\88~wmj`]V\8b\81wmjd]Z\8e\85~qjd]Z\8e\85{tjg`Z\92\85~tmg`]\92\88\81wmjd]\92\88\81wqjd]\95\8b\85wqjg`\95\8b\85{qmg`\95\8e\85{tmjd\98\8e\85{tmjd\9c\92\88~tmjd\9c\8e\85~wmjd\9c\8e\88{tqjg\9c\92\88~wqjg\9c\92\88\81wtjg\9f\92\88\81{qmj\9f\95\88\81{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\8b\85{tmj\9f\98\8e\85{tmj¢\98\8b\85{wmj\9f\95\8e\85~wqj¢\95\8e\85{tmj¢\98\8e\85~wqj¢\95\8e\85{wqj¢\98\8e\85~wmj¢\98\8e\85{wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\88~wtj¢\98\8e\85~wqj¢\9c\8e\85~wtj¥\9c\8e\85~wtj¢\9c\8e\85~wtj¢\9c\8e\85\81wqj¢\9c\92\85\81{qj¢\9c\8e\85\81{qj¥\9c\8e\88~wqjáÓÊÀ¹¯©\9fÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçá×ÿøëá×ÐƼîá×Íü¶¬ä×Êù¯©¢ÚÐù³¬¥\9fÚÍù¯©\9f\9c×ÍÀ¹¯©\9f\98×ÍÀ¹¯¥\9f\98×ʼ¶¯¥\9f\95Óʼ¶¬¢\9f\95Óƹ¯©\9f\9c\92Íö¬¢\9f\95\8eÊÀ³¬¢\9c\95\8bƼ³©\9f\95\92\88ù¯¥\9f\95\8e\85ù¬¢\9c\92\8b\85À¹¬¢\95\8e\88\85¼³©\9f\98\8e\88\81¼³¥\9f\95\8e\85\81¹¯¥\9f\95\8b\85~¹¯¢\9f\92\88\85{¹¬\9f\98\8e\88\85{¹¬\9f\98\8e\85\81{³©\9f\95\8b\85\81w¶¬\9f\98\8b\85\81w³©\9f\92\8b\85~w¯¥\9c\92\88\85~t\92\8b\81wmjd]VPF?962/("\e\11\ e\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,"\e\15\ e\v\ 4\ 4C<2/(%\1f\eSPC<96/,`ZPIC?96j`VPIF?9mgZSPIC<mg]SPMF?qj]ZPPICtj`ZSPICtmd]VPIFwmj`ZSPI~qjd]VPM\81wmg`ZSP\85wmj`]VP\85{tjg]ZS\85~tmg`ZS\88\81tqj`]V\8b\85wqjd`V\8b\85{qjg`Z\8e\85{tmg`]\8e\85~tmjd]\92\88\81wqjd]\95\88\81wmjg]\95\88\81{qjg`\95\8b\85{tjj`\98\8b\85{tmjd\98\8e\85~tmj`×Íù¯¥\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîáÚÓûñäÚÐÆÀ¹çÝÓƼ¶¬©ÚÓƼ³¬¥\9fÓÊÀ¹¬¥\9f\98Óʼ¶¬¥\9c\95Óʼ³¬¥\9f\95ÓƼ¶©¥\9f\92Óʼ³©¢\9c\92Ðƹ³¥\9f\98\92Íù³¥\9f\98\8eÊÀ¶¬¢\9c\95\8bƼ³©\9f\98\8e\88ƹ¯¥\9c\92\8e\88ù¬¢\9c\92\8b\85¼¶¬¢\95\92\88\85¼³©\9f\95\8e\88\81¹¯¥\9f\95\8b\85~¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¶¯\9f\98\8e\88\81{¶¬\9f\95\8e\88\81{³¬\9f\95\8e\88~{³©\9f\95\8b\85~w³©\9f\95\88\85~w¯¥\9f\92\88\85{w¯¥\9c\92\88\85{t\92\88~wmgd]VPI?962/("\1f\15\11\v\v\ 4\a\ 4\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,"\1f\18\11\v\a\ 4?96,,"\1f\eVMF<62/,`ZSIC<96j`ZPIC?9md]SPFC<mg]SPIC?mg`VPIFCqjdZSPICwjg]SPIFwmj]VSMF{qj`]VPI~tmd]VSP\81wqg`ZSP\85{tjd]VP\88~tmd]ZS\88~wmg`ZV\8b\81wqjd]Z\8e\85{qjg]Z\8e\85~tjd`Z\8e\85~tmg`]\92\88\81wmj`]\92\88\81wqjd]\92\8b\85wqjg`\98\88\85{qjg`\95\8e\85{tmj`\98\8e\85~tmjd×Êù¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþñëá×Ðûîä×ÐƼ¹çÝÓƼ¶¬©ÚÓƹ¯¬¢\9fÓÊÀ¶¬¥\9f\98ÓƼ¶©¥\9f\95ÓƼ¶©¥\9f\95Óʼ¶©¥\9f\98ÓƼ³©¢\98\92Ðƹ³¥\9f\98\92Íù¯¥\9f\95\8eÊÀ¶¬¢\9c\92\8bƼ³©\9f\9c\8e\88ü¯¥\9f\95\8e\88ù¬¥\98\92\88\85À¶¬\9f\95\92\88\85¼¶©\9f\95\8e\85\81¼³©\9f\92\8e\85\81¹¯¥\9c\92\8e\85~¹¯¢\9c\8e\88\85~¶¬¢\9c\8e\88\81{¶¬\9f\95\8e\88\81{³¬\9f\95\8e\85~w³©\9f\95\8b\85~w³©\9f\95\88\85{w¯¥\9f\92\88\85{t¯¥\9f\92\88\85{t\92\88\81wmjd]VPF?96/,(\1f\e\11\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,"\1f\15\11\v\v\ 4C92/(""\eSPF<62/,`ZPIC?96j`ZPMC?9mg]VPIC?mj]VPMF?qj`ZPMFCtj`]SPICwmd]VPMFwqg`ZSPI{tj`]SPI~tmg]ZSP\85wmj`ZVP\85{qjd]ZS\85~tmg`ZS\88~wmj`]V\88\81wmjd]V\8e\85{qjd]Z\8e\85{qmg`Z\8e\85{tmj`]\92\85~wmgd]\92\88~wmjd]\95\88\81wqjg`\95\8b\81wqjg`\95\8e\85{tjj`\98\8e\85{tmj`×ÍÀ¹¬¥\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôëÿÿþôëáÚÓûñäÚÓÊÀ¹çÝÐƼ¶¯©ÚÓƼ³¬¢\9fÓÊÀ¶¬¥\9f\98Óʼ³¬¢\9f\95Óƹ¶©¥\9c\92Óʹ¶©¢\9c\92Óƹ³©¢\9c\92Ðƹ³©\9f\9c\92Íö¬¢\9f\95\92ÊÀ³¬¢\9c\92\8bƼ¯©\9f\95\92\8bù¬¥\9f\95\8e\88À¹©¢\9c\92\88\85¼¶©\9f\95\92\88\85¼³¥\9f\92\8e\88\81¹¯¢\9f\92\8b\85~¹¯¢\9f\92\8b\85~¹¯¢\9c\8e\88\81{¶¬\9f\98\8e\88\81{³¬\9f\95\8e\88\81{³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯©\9c\95\88\85~w³¥\9c\92\88\85{t¯¥\98\92\88\85{t¯¥\9c\92\88\85{t¬¥\95\92\85\81{t¬¢\95\8e\85~{q¬¢\95\8e\85~{t¬¥\95\8e\85~wq¬¢\95\8e\85~tq¬¢\92\8e\85~tq¬\9f\92\8e\85~wq©\9f\92\8b\85~tq©\9f\92\8b\81{wq¥\9f\92\8b\81~wm©\9f\92\8b\81{wm©\9f\92\8b\81{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88~{tm¥\9f\92\85~{tm¥\9c\92\88\81{qm¥\9f\92\88\81wqm¥\9f\8e\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88\81wqm©\9c\92\88\81{qm¢\9c\92\88~{tm¢\9f\8e\88~{qm¢\9f\8e\88~{qm\88\81tmd`ZVPI?92/,(\1f\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0\1f\e\15\ e\a\ 4\ 1\096/,"\1f\e\15SMC962/,`ZPFC<62j`ZPMC?9md]SPIC?qg]VPIC?qj`VPMF?qj`ZSMICtjd]SPICwqg]VPPF{qj`ZSPI~tmg]ZSM\81wmg]ZSP\85{qjd]VP\85~tjd]ZS\88~tmj`]S\88\81wqj`]V\8b\85{qjd]Z\8e\85{tjg`Z\8e\85~tmg`]\92\85\81tmjd]\92\88\81wqjd]\92\88\81wqjg`\95\8b\85wqjg]\98\8e\85{tjjd\98\8b\85{tmjd\98\8e\85{tmjd\9c\8e\85~tqjd\9c\92\88~wqjg\9c\92\88~wqjg\9c\92\88\81wqjg\9c\92\88\81wqjj\9f\92\88~{qmg\9f\92\8b\81{qjj\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tqj\9f\98\8e\85{wmj\9f\95\8e\85~wqj¢\95\8e\85{wmj\9f\98\8e\85~wmj¢\95\8e\85~tmj¢\98\8e\85~wmj¢\98\92\85~wqj¢\98\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\98\92\85~wqj¢\98\8e\85\81wqjá×ÊÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîÿÿÿûîçá×þøîáÓÐƼîá×Êü³¬á×ÊÀ¹¯©¢ÚÐƹ³¬¢\9f×Íù¯¥\9f\9c×ÍÀ¹¯©\9f\98ÓÊÀ¹¬©\9f\98Óʼ¶¬¥\9f\98Óʼ¶©¢\9c\95Ðƹ¯©¢\95\92Êö¯¢\9f\95\92ʼ³¬\9f\9f\92\8bƹ¯©\9f\98\8e\88ù¬¥\9c\92\8e\85À¹¬¢\9c\92\88\85¼¶©\9f\98\92\88\85¼³©\9f\95\8e\88\81¹³¥\9f\92\8b\85~¹¯¥\9f\92\88\85~¹¬¢\9c\92\8b\85~¶¬\9f\98\8e\88\81~¶¬\9f\98\8e\88\81{³¬\9f\95\8b\85~{³©\9f\95\8b\85~w¯©\9f\95\88\85{w¯©\9c\92\88\85{t¯¥\9c\92\85\85{t¯¥\98\8e\85\81wt¬¢\98\8e\85\81{t¬¢\98\8e\85~wt¬¢\98\8e\85~wq¬¢\95\8e\85~wt¬¢\92\8e\85~wq©¢\95\8e\85{wq¬\9f\95\8b\85~wq©\9f\92\8b\85~tq©\9f\92\8b\85{tq©\9f\92\88\81{tm©\9f\92\8b\85{wq¥\9f\92\88\81{tm©\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\8b\81{qm¥\9f\92\88~{qm¥\9f\92\88\81{tm¥\9f\92\88\81~tm¥\9f\92\88~{tm¥\9f\92\88\81{tj¥\9f\8e\88\81{tm¥\9f\8e\88~{qm¥\9f\8e\88\81wtm¥\9f\8e\88~wqm¥\9f\8e\88~{tm¥\9f\8e\88~{qm¥\9f\8e\88~{qm¥\9f\8e\88~{qm¥\9c\8e\88~wqm¢\9c\8e\88~wtj\85\81tmg`ZVPF<92/,%"\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\11\ e\a\ 4\ 4\096/("\1f\e\15PI?96/,(`ZPIC<66j`VPMC?9md]SPIF<mg]VPIC?mj]VPMF?qj`ZSMICtj`]SPIFwmd]ZPMF{qj`ZSPI~tjd]ZSM\81wmg`]VP\85{qjd]ZP\85~tmd]ZS\88~tmg`]S\8b\81wqjd]Z\8b\85{qjg`Z\8e\85{tmg`Z\8e\85~tmjd]\92\85~tqjd]\92\88\81wqjg]\92\88\81wqjg`\92\8b\81wtjg`\92\8b\85{tmj`\98\8b\85{tmj`\98\8e\85{tmjd\98\8e\85~tqjg\98\8e\85~wmjd\9c\92\85~wqjg\9c\92\88~wqjd\9c\92\88~wqmg\9c\92\88\81wtmg\9f\92\88\81wtmg\9f\92\88\81wtmg\9f\92\88\81{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj¢\92\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8e\85{wqj\9f\98\8b\85{tmj\9f\95\8e\85{tqj\9f\95\8e\85~tqj\9f\98\8e\85{tqj¢\98\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85~wmj¢\98\8b\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\98\8e\85~wtj¢\98\8e\88\81{tj¢\9c\8e\85\81wtjÝÓʼ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîçÝÓþôëÝÓÐüîáÓÊù³¬áÓÊÀ¹¯©¢ÚÐƹ¯¬¢\9c×Íù¯©\9f\9c×Íù¬©\9f\98ÓÍÀ¶¬©\9f\9cÓÊÀ¹¬¥\9f\95Óʼ¶©¢\98\95Ðƹ³©¢\95\92Êù¯¢\9f\95\8eÊÀ¶©¢\9c\92\8bƼ³©\9f\98\8e\88ù¬¥\9c\92\8b\85¼¹¬¢\98\92\88\85¼¶©\9f\92\92\88\81¹³©\9f\95\8e\85\81¹¯¥\9f\92\8e\85~¹¯¢\9c\8e\8b\85~¹¯¢\98\8e\8b\81~¶¬¢\9c\8e\88\81~³¬\9f\95\8b\88~{³©\9f\95\8b\85~{¯©\9f\92\8e\85{w¯©\9f\95\88\85~w¯¥\9c\92\88\85{t\92\88~wmjd]VPF?96/,("\1f\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\a\ 1\0\0\0\0,"\1f\15\11\ e\a\ 4C96/(%\1f\eSPF<92/,dZSIC?<6j`ZPMF?9md]SPIC?mg]SPMC?qj`ZPMFCqj`ZSPFCtjd]SPIFwqg]ZPPI{qj`ZSPI~tmd]ZSP\81wmj]ZSP\85wqj`]VP\85{tjg]ZS\85~tmg`ZS\88\81wmjd]Z\8b\85wqjg]Z\8e\85{qjg`Z\8e\85{tjg`]\92\88~wmj`]\92\88~wmjd]\95\88\81wqjg`\92\8b\81wqjg`\92\8b\81{qjg`\98\8e\85{tjj`ÓÊÀ¶¬¥\9f\95ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûñëÿÿþôëá×ÐûîäÚÍƼ¹çÝÓƼ¶¬¥ÚÐù³¬¥\9fÓʼ¶¬¥\9f\95ÓƼ³©¢\9f\92Ðƹ¶©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ¯¥\9f\98\92Êù¯¢\9f\95\8eƼ³¬\9f\9c\92\8bƹ¯©\9f\98\8e\88ù¬¢\9c\95\8b\85¼¶©¢\95\92\88\85¼³©\9f\95\92\88\85¹³¥\9f\92\8b\85\81¹¯¥\9c\92\8b\85~¹¯¢\9c\92\8b\85~¶¬\9f\98\8e\88\81{¶¬\9f\98\8e\88\81{³¬\9f\98\8b\88~{³©\9f\95\8b\85~w³©\9f\92\88\85~w³¥\9c\95\88\85{w¯¥\9c\92\88\85{w¬¥\98\92\88\85{t\8e\88~wmj`]VPF?66/,(\1f\18\11\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0,"\e\15\ e\v\a\ 4?9/,("\1f\18SMC<62/,]ZPIC<96j]SPIC?9jdZSMFC<mg]SPIC<mg]VPMF?qj]VSMFCtj`]SPMCwmg]VPPF{qj`ZSPI~tjg]VSP\81wmj`ZSP\85{qjd]ZS\85~qjg`ZS\88~tmg`]V\8b\81wqj`]V\8b\85wqjg`Z\8b\85{qjg`Z\8e\85{tmg`]\8e\88~wmjd]\92\88~wqjg]\95\88\81wqjg]\92\88\81wtjj`\92\88\81{tmj`\98\8b\85~tmj`Óͼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôîÿÿþôçáÚÐûîáÚÐÆÀ¹çÝÐƼ¶¯¥ÚÐƼ³¬¢\9fÓʼ¹¬¥\9f\98ÓƼ³¬¢\9c\92Óƹ³©¢\9c\92Ðƹ¶©¢\9f\92Ðƹ³©¢\98\95Íƹ¯¥\9f\9c\92Êö¬¢\9f\95\8eÆÀ³¬\9f\9f\92\8bƼ¯©\9f\98\8e\88ù¬¥\9f\92\8e\85À¹¬¢\98\92\88\85¼³©¢\95\8e\88\85¼³¥\9f\92\8e\85\81¹¯¢\9f\92\8b\85~¹¯¢\9c\92\88\85~¹¬\9f\98\8e\8b\85~¶¬\9f\9c\8e\88\81{³©\9f\95\8b\85~w³©\9f\95\8b\85{w¯©\9f\95\88\85~w¯©\9c\92\88\85{w¬¥\9c\92\88\85{w¬¥\98\92\85\85{t\92\88~wmj`]VPF?96//("\1f\15\11\ e\v\ 4\a\ 4\ 1\0\0\0\0\0\15\ e\a\ 4\ 1\0\0\0,%\1f\18\11\ e\v\aC<6/("\1f\1fSPF<96/,`ZSIC<96j`VPIC?9jd]SMFC?mg]VPIC?mg]VPIC?qg`ZSPF?tj`ZSPICwmg]VPMF{qj`ZSPI{tmd]VSM\81wmj`ZSP\85{qj`]VP\85~tmg`ZS\88~tmg`ZS\88\81{mjd]V\88\81wqjd]Z\8b\85{tjg`Z\8e\85{tjg`Z\92\85~tmgd]\92\88~tqj`]\92\88\81wqjg`\95\88\85wqjg`\95\8b\81wqjg`\95\8b\85{tjg`ÓÊÀ¶¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñçÿÿþôëá×Ðûîá×ÐƼ¹çÚÐƼ¶¬¥ÚÐƹ³¬¢\9fÓÆÀ¶¬¥\9c\98ÓƼ¯©¢\9f\95ÐƼ¯©¢\9c\92Ðƹ¯©¢\9c\92ÐƼ¯©\9f\9c\92Íù¯¥\9f\98\92ÊÀ¹¬¥\9f\95\8eƼ¶¬¢\9c\95\8bƼ³©\9f\95\8e\88ù¬¥\9c\95\8e\85À¹¬\9f\98\92\8b\85¼³©\9f\95\8e\88\85¹³¥\9f\92\8e\88~¹³©\9c\92\8b\85~¹¬¥\9c\92\88\85~¶¬¢\98\8e\88\81{¶¬\9f\98\8e\85\81{³©\9f\95\8e\85~w³©\9f\95\8e\85~w¯©\9f\95\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\8e\88\81{t¬¢\9c\8e\88\85{t\92\88~tmj`]SPF<96/,("\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\1f\15\ e\ e\a\ 4?92,("\1f\eSMC962/(`ZPIC?96g`VPIC?9j`ZSPFC<mg]VPIC?mj]VPMF?qj`ZSPFCqj`]SPIFwmg]VSPF{qj`ZSPM~tjg]ZSP\81wmj`ZVP\85{qjdZZS\85{tjd`ZS\85~wmj`]Z\88\81wmjd]V\8b\85wqjd]Z\8b\85{tmg`Z\8e\85~tmg`]\8e\85{tmjd]\92\88\81tmjd]\92\88~wqjg]\92\88\81{qmg`\92\8b\81wqjg`\95\8b\81{tmg`Óʼ¶¯¥\9f\95ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôëÿÿþôëá×Ðûîä×ÐÆÀ¹çÚÐƼ¶¯¥ÚÐù¯©¢\9cÓʼ¶¬¥\9f\95ÓƼ³©¢\9c\92ÓƼ³©¢\9c\92Óƹ³©¢\9c\92Óƹ³©¢\9c\92Ðù¯¥\9f\9c\8eÊö¬¢\9f\95\8eÊÀ³©¢\9c\92\8bƹ¯¥\9f\95\92\88ù¬¢\9f\92\8e\85À¶¬¢\98\92\88\85¼³©\9f\95\8e\88\81¹³¥\9f\95\8b\85~¹¯¥\98\95\8b\85~¹¬¢\98\92\88\85~¶¬\9f\98\8e\88\85{¶¬\9f\95\8e\85\81{³©\9f\92\8e\88\81{³©\9f\92\88\85~w¯¥\9f\92\8b\85~w¯¥\9c\92\88\85~t¬¢\98\8e\88\85{t¬¢\98\8e\85\85{t¬¢\98\8e\85\81{q¬¢\98\8e\85~wt¬¢\95\8e\85~wq¬\9f\95\8e\85~wq¬¢\95\8e\85~wm¬\9f\95\8b\85~wq©\9f\92\88\85{wq©\9f\92\8b\85~wm©\9f\92\8b\85{tm¥\9f\95\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9f\8e\88\81wtm¥\9f\8e\88\81{tm¥\9c\92\88\81wtj¥\9c\92\88\81wtj¥\9f\92\88\81wtj¥\9c\8e\88\81wtm¥\9f\92\88\81wqj¥\98\8e\88\81wqj¥\9c\8e\85\81wqj¥\9c\92\85~wtj¥\9c\8e\85~wqj¢\9c\8e\85~{qj¥\9c\8e\85~wqj¢\9c\8e\85~wtj¢\9f\8e\85~wqj¥\9c\8e\85~wqj¥\98\8e\85~wqj\88~tmg`]VPF?92/,("\1f\15\ e\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\15\11\v\ 4\ 4\ 196/,"\1f\1f\18SIC962/,`ZPIC<92j`ZPMC?9j`ZSPFC<mg]VPIC?mg]VPMF?qj`ZPMF?tjdZSPICtmg]ZPMFwmj`ZSPI~tjd]VPM\81wmg`ZSP\85wqj`ZVP\85{qjd]ZS\85~tmg`]S\88~wmj`]V\88\85wqjd]V\8b\85{qjg]Z\8e\85{tmg`Z\8e\85~tmg`]\92\85~tqjg]\92\88\81wqjd]\92\88\81wqjg`\92\88\81wqmg`\95\8b\85{tmg`\95\8b\85{tmjd\95\8e\85{tmjd\98\8e\85{wqjd\98\8e\85~wqjg\9c\92\85~wqjg\9c\92\88~wqjg\9f\92\88~wqmg\9f\92\88~wqjg\9f\92\88\81{qjj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\88\85{tmj\9f\95\8b\85{tmj\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85~wmj\9f\95\8b\85~tmj¢\98\8e\85~tqj\9f\95\8b\85~wqj\9f\95\8e\85~wqj\9f\98\8b\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85{wqj¢\95\8b\85~wmj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqjÝÓƼ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûîçÝÓþôëÝÓÍƼîáÓÊù³¬áÓÍÀ¹¯©\9fÚÐù¯©¢\9cÓÍÀ¹¯¥\9f\95ÓÊÀ¹¬¥\9f\98×ÊÀ¶¬¥\9f\95Óʼ³¬¢\9f\95Óƹ³©¢\9c\92Ðƹ¯©\9f\9c\92ÊÀ¶¬¥\9f\95\8eƼ³©¢\9c\92\88ù¯¥\9f\95\8e\85ö¯¢\9c\92\8e\85¼¶¬\9f\98\92\88\85¼³©\9f\95\8e\88\81¹³¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85~¹¯¢\98\92\88\85{¶¬¢\95\92\88\85{¶©\9f\98\8b\85\81w¶©\9f\95\8b\85~w³©\9f\92\8b\85~w³¥\9f\95\88\85~w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¯¥\98\8e\85\85{t¬¢\98\8e\85\81wt¬¢\98\8e\85~wt¬¢\98\8e\85~wq©\9f\98\8e\85~wq¬\9f\98\8b\85~wq©\9f\92\8b\85~wq©\9f\95\8b\85{tm©\9f\95\8b\81{wm©\9f\92\88\85{tm©\9f\92\8b\85{wm¥\9f\95\88\85{tm©\9f\92\88\81{tj©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88~{tm¥\9c\92\88\81{tm©\9c\92\88\81{qj¥\9c\8e\88\81wtj¥\9c\8e\88\81{qj¥\9c\8e\85\81wqm¥\9c\92\85\81wtj¥\9c\8e\85~wtm¥\9c\8e\85~wtj¥\9c\8e\88~wtj¢\9c\8e\85~wqm¢\9c\8e\85\81wqj¢\9c\92\85~wqj¢\98\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj\85~tjg]ZSPF<62/,%"\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\15\ e\a\ 4\ 1\092,("\1f\18\15PI?96/,(]VPF?<92j`VPIC?9jd]SMIC<jg]VPIC?mg]VSIF?mj]ZPPFCqj`ZSPICtmd]VPMF{mg`ZSPF{tjd]VPM~tmg`ZSP\85{mj`]VP\85{qjd]ZS\85~tjg`]S\88\81tmg`]V\8b\81wqj`]V\8b\85{qjd`Z\8e\85{tmg`Z\8e\85~tmjd]\92\88~tmj`]\92\88~wmjg]\92\8b~wqjg`\95\88\81{qjj`\92\8b\81{tqj`\95\8e\85{tmj`\98\8e\85{tqjg\98\8e\85{tmjd\98\8e\85{wqjg\98\8e\85~wqjd\9c\8e\85~wqmg\9c\92\88~wqjg\9c\92\88~wtmg\9f\92\88\81{qmg\9f\92\88\81wqmg\9f\92\88\81{tmj\9f\92\88\81{tmg\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\8b\81{tmj\9f\95\8b\81{tmj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8e\85~tqj\9f\95\8b\85{tqj\9f\95\8e\85~wqj\9f\95\8b\85{wqj\9f\98\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8e\85~tqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85{wqj\9f\98\8e\85~wqj¢\98\8e\85~wqjÝÓʼ¶¬©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäÝÓþñçÝÓÍüîáÓÊù³¬áÓÊÀ¹¯©\9f×Íù¯©¢\98Óʼ¶¬¥\9f\95ÓÊÀ¶¬¥\9f\98ÓÊÀ¶¬¥\9f\98ÓƼ¶¬¢\9f\92Ðƹ³¬¢\9c\92Íù¯©\9f\95\92¯¥\9c\92\88\85~wmg]VPMF?96/("\1f\e\15\1f\15\ e\v\ 4\ 1\0\0,\1f\e\15\ e\v\ 4\ 496/(\1f\1f\18\15PI?96/,(`ZPIC?96jd]SMIC<qj`ZSMICtjdZVPICtmg]VPIFwmg]ZPPFwqg`ZSPI{qj`ZSPM~tmd]ZPP\81wmj`]SP\85{qj`]VP\85{tjd]ZS\88~wmg`]S\88\81wmjd]V\8b\85{qjd`Z\8e\85{qjg`Z\8e\85~tmg`Z\8e\85~tmj`]\92\88~wqjd]\92\88\81wqjg]\95\88\81{qjg`\95\8b\81wqmg`\95\8e\85{tmg`\95\8b\85{tmj`\95\8b\85{tqjdÓÊÀ¶¬¥\9f\9cÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñëÿÿûñçá×ÐøîáÚÐʼ¹çÝÐƼ¶¯©ÚÐù³¬¢\9fÓʼ¶¬¥\9f\95Óƹ³©¢\9c\95Ðƹ¶¬¢\9c\92Óƹ³©¥\9c\95Ðƹ³©¢\9c\92Íƹ¯¥\9f\95\92Êù¯¥\9f\92\8eƼ³©\9f\9f\92\8bƼ¯©\9f\95\8e\88ù¬¥\9f\95\8b\85À¶¬¢\98\92\88\85¼¶©\9f\95\8e\88\85¹³¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¶¯¢\9c\8e\8b\85~¶¬\9f\98\8e\88\81{¶¬\9f\98\8e\88\81{³©\9f\95\8b\88~{³©\9f\95\8b\85~w¯©\9c\92\88\85~w¯¥\9c\92\88\85{w¯¥\9c\92\85\81{t¬¢\98\92\88\81{t¬¢\95\8e\85\81wt¬¢\98\8e\85~wt¬¢\95\8e\85\81wq©\9f\95\8e\85~wq©\9f\92\8e\85~wq©\9f\92\8e\85~wq¥\9f\92\8b\85{tq©\9f\92\8b\85{tm¥\9f\92\8b\81{tq¥\9f\92\88\85~tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9f\92\88~wqm¥\9c\92\88~{qm¥\9c\8e\88\81{tm¢\9c\8e\88~{qm¢\9c\8e\88\81wqm¢\9f\8e\88~wqj¥\9f\8e\88~{qm¥\9c\8e\88~wqj¢\9c\8e\85~wqm¥\9c\8e\88~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wmj¢\9c\8e\85{wqj¢\9c\8e\85~wqj¢\9c\8e\85{wmj¢\98\8e\85~wmj¢\9c\8e\85~wqj¢\9c\8b\85~wqj¢\98\8e\85~wmj¢\9c\8b\85{wmj¢\9c\8e\85~wqj\9f\9c\8b\85{wmj¢\9c\8b\85~wqj¢\9c\8b\85~wqj¢\98\8b\85~wqj¢\98\8b\85{wqj¢\98\8b\85{wqj¢\98\8e\85{wqj\9f\9c\8e\85~wqj\9f\9c\8e\85{wmj¢\95\8e\85~wqj\9f\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8b\85~{qj¢\9c\8b\85~wqj¢\98\8b\85~wqj¢\98\8b\85~wqj\9f\9c\8b\85~wqj\9f\95\8b\85~wqj\9f\9c\8b\85{wmj¢\98\8e\85{wqj¢\95\8e\85~wqj\9f\9c\8b\85{wmj¢\98\8b\85{wmj\9f\98\8e\85~wqj\9f\9c\8b\85{wmj¢\98\8b\85{wmj¢\9c\8b\85{wmj¢\9c\8b\85{wmj¢\9c\8e\85{wmj¢\9c\8e\88~wqj¢\9c\8e\85~wqm¥\9f\92\88~{tj¥\9c\8e\88~{qm¢\9f\8e\88~wqj¢\9f\8e\88~wtj¥\9c\8e\85~{qm¢\9c\8e\88~{qm¥\9c\8e\88~wqj¢\9c\8e\88~{qj¥\9f\8e\88~{qm¥\9c\8e\88\81wqm¢\98\8e\88~{tm¢\9c\8e\85~{qm¢\9f\8e\88~wqm¥\9f\8e\85~{tj¢\9f\8e\88\81{qm¥\9c\8e\85~wqj¢\9c\8e\88~{qj¢\9c\8e\88~wqm¥\9c\8e\88~{qm¢\9c\8e\88~wtm¢\9c\8e\88~{qm¢\9c\8e\88~wqj¢\9c\8e\88~{qj¥\9c\8e\88~{qm¢\9c\8e\88~wqm¢\9c\8e\88~wqj¢\9c\8e\85~wqm¢\9c\8e\88~{qm¢\9f\8e\88~{qj¢\9c\8e\88\81wqm\85\81tmg`ZSPF?96/,("\e\15\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\09/,%"\1f\18\11PI?96/,(`VPIC<62j`VPIC?9md]SPFC?mg]VPIF?mg]ZPIF?qj`VPMF?tjd]SPICtmd]VPMFwqj`ZSPI~tj`]VPI\81wmg]ZSP\81{qj`]VP\85~tjg]ZS\88~tmg`]S\88~wmj`]V\8b\81wmjd]Z\8b\85{qjg`Z\8e\85{qjg`]\8e\85~tmjd]\92\88~wqjd]\92\88\81wqjg]\92\88\81wmjg`\92\8b\81wqjg`\92\8b\85{qmj`\95\8b\85{tmj`\95\8e\85{tqjd\95\8e\85{wmj`\98\8e\85~wqjd\9c\8e\85~tqjd\9c\8e\85\81wqjd\98\92\85~wqjg\9c\92\85\81wqjg\9c\92\88~wqmg\9f\92\88~wqmg\9c\92\88\81wqmg\9f\92\88\81wtmj\9f\92\88\81{tjg\9f\92\88\81{tjj\9f\92\88\85{tmg\9f\92\88\85{tmj\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85{wmj\9f\92\8b\85{wmj\9f\95\8b\85{tmj\9f\95\8b\85~wmj¢\95\8b\85~tqj\9f\95\8e\85{wqj\9f\95\8e\85~wqj\9f\95\8b\85~wqj\9f\95\8e\85{wqj\9f\95\8b\85~wqj¢\95\8b\85~wqj\9f\98\8b\85~wqj¢\98\8b\85~wqj\9f\98\8b\85{wqj¢\98\8b\85~wqj\9f\95\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85~wtj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\95\8b\85~wtj\9f\98\8e\85~wtj\9f\95\8e\85~wqj¢\98\8e\85~wqj\9f\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj\9f\98\8e\85~wqj¢\9c\8e\85{wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\88~wqj¢\98\8e\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85~{tj¢\95\8b\85~wqj¢\98\8e\85~wtj\9f\98\8e\85~{tj¢\98\8e\85~wqm¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~{qj¢\9c\8e\85~{tj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqm¢\9c\8b\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wtj¢\98\8e\85~wqm¢\95\8e\85~wtj¢\98\8e\85~wtj\9f\98\8e\85~wqm¢\98\8e\88~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqj\9f\9c\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85\81wqj¢\9c\8e\85~wtj¢\98\8e\85~wqm©¢\95\8b\85~wt©¢\98\8e\88\81{t\9f\98\8e\85~wqj\92\8b\81wqjg`\85\81wmg`]V~wmg`ZSP~tjd]VSP~tjd]ZSM\81wmj`ZVP\85{tjg]ZS\88~wmj`]V\8b\85{qjg`Z\8e\85{tjj`]\8e\85~tmjd]\92\88\81wmjg]\92\85~wqjg]\92\88\81wqmg`\92\88\81{qmg`\92\8b\81{tmjd\95\8e\85{tmjd\98\8e\85{tmjd\98\8e\85~tmjd\98\8e\85~tqjd\98\8e\85~wqjg\9c\8e\85~wqmg\9c\92\88~wtmg\9c\92\85~wtmg\9c\92\88\81{tmj\9f\92\88\81{tmg\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\88\81wtmj\9f\92\8b\81{tmj\9f\92\88\85{tmj\9f\92\88\85{tqj\9f\95\88\81{wqj\9f\92\8b\85{tqj\9f\95\8b\85{wqj\9f\95\8e\85{wqj\9f\95\8b\85{wqj\9f\95\8e\85~tqj\9f\98\8b\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj¢\95\8e\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wqj¢\95\8e\85~wqj\9f\9c\8e\85{wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8b\85~wtj¢\98\8e\85~wqj\9f\98\8e\85~wtj\9f\95\8e\85~wqm\9f\98\8e\85~{qj\9f\98\8b\85~wqj\9f\98\8e\85~wqj¢\95\8e\85~wtj\9f\98\8e\85~wqj¢\95\8e\85~wtj¢\95\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj\9f\98\8e\85~wqm¢\95\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqm¢\98\8e\85~wqj¥\9c\8e\85~wqm\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm\9f\98\8e\85~wqm¢\98\8e\85~wqmÓʼ¶¬¥\9f¯ÿÿÿÿÿþôîÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿÿûîçáÿÿûîäÚÓÊþôçáÓÊƼôëÝÓÊù³îä×Êù³¬çÝÓƼ¹¯©çÚÍƼ¶¬¥á×ÊÀ¹³©¢áÓÆÀ¹¯¥\9fÚÐƹ¶¬¥\9f×Êù¯©\9f\9cÓƼ³¬¥\9f\95Ðƹ³©¢\9c\92ÊÀ¹¬¥\9f\95\8eƼ³©\9f\9c\92\8bù¯©\9f\95\92\88ù¬¥\9f\95\8b\85À¶¬¢\9c\92\8b\85¼³©\9f\95\8e\8b\85¹¯¥\9f\95\8e\88\81¹¯¥\9f\92\8b\85\81¹¬¢\9c\92\8b\85~¶¬¢\98\8e\88\85{³¬¢\98\8e\88\81{³©\9f\95\8e\85\81w³©\9f\92\8b\85~w¯©\9f\92\8b\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\85{t¬¥\9c\8e\88\81{t¬¢\9c\92\85\81{t¬¢\95\8e\85~{q¬\9f\98\8e\85~wq¬\9f\95\8b\85~wq¬\9f\95\8e\85~wq©\9f\92\88\85{tq©\9f\92\88\85{tq©\9f\92\8b\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\95\88\81{tj¥\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9c\92\88\81{qm¥\9f\8e\85~{qj¥\9f\92\85~wtm¥\9c\8e\85~wqj¥\9c\8e\85~{tj¢\9c\8e\85~wtj¢\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\88~wtj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj\85~tjg`ZSPF?96/,("\e\15\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0\1f\e\11\ e\a\ 4\ 1\092,("\1f\e\18PI?96//,`VPIC<96j`ZPIF?9mdZSPFC?mg]VPMF?qg]VPIF?qj`ZSPFCqjdZSPICtmd]VPIF{qg`ZSPI{tjd]VPM\81wmg]ZVP\85wqj`]VP\85{qjd]ZP\85{tjg`ZV\88~tmjd]V\88\81wqjd]Z\8b\81wqjg`Z\8b\85{tjg`Z\8e\85{tmj`]\8e\85~wmjd]\92\88\81tmjd`\92\88~wqjg]\95\8b\81{qmg`\95\8b\81wtmg`\95\8b\81{tmj`\95\8b\85{tmjd\95\8e\85{wmjd\98\8e\85~tmjd\98\8e\85~tqjg\95\8e\85~wqjd\9c\8e\85~wqjg\9c\8e\85~wqjg\9c\92\88~wtmg\9c\92\88~wtjg\9f\92\88\81{tmg\9f\95\88\81{tmg\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\92\88\85{tmj\9f\92\8b\85{tmj\9f\92\8b\85{wmj\9f\95\88\81{tmj\9f\92\88\85{wmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85~tmj¢\95\8b\85~wmj\9f\95\8b\85~wmj\9f\98\8b\85~wmj\9f\95\8e\85~tqj\9f\95\8e\85~tqj\9f\98\8b\85{wqj\9f\95\8e\85{wqwÚÓƼ³¬¥ÓÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîäÝÓþôçÝÓÊùîáÓÊÀ¹³©ÝÓƼ¶¬¥\9f×Íù¯©\9f\9cÓÊÀ¶¬¥\9f\98ÓƼ¶¬¥\9f\98ÓƼ¶¬¢\9f\95ÓƼ³¬¢\9f\95Ðƹ¯©¢\9c\92Êù¯¥\9f\98\8eÆÀ¶©¢\9f\95\8bƹ¯©\9f\95\92\88ù¬¢\9f\95\8e\85À³¬¢\9c\92\8b\85¼³©\9f\98\92\88\85¹³¥\9f\92\8b\85\81¹¯¥\9c\92\8b\85~¹¬¢\9c\92\8b\85~¹¬¢\95\8e\88\85{¶¬\9f\95\8b\85\81w³©\9f\95\8e\85~w¯©\9f\92\8b\85~w¯¥\9c\92\88\85~t¯¥\9c\92\88\81~t¯¢\9c\8e\88\81{t¯¢\98\92\85\85{t¬¢\98\8e\85\81wt¬\9f\95\8e\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85{wm©\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\85{tm¥\9c\92\88\81{tj¢\9c\92\88\81wqj¥\9f\92\88\81wqj¢\9c\92\85~{qm¢\9c\8e\85\81{qj¢\9c\8e\88~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wqm¢\98\92\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85{tqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\85{tjd`ZSMC<62/,%\1f\e\11\ e\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\092,("\1f\18\15PF?96/,,]VPF?962g]VPIC<9j`ZSIFC<md]SPIC?mg]SPIC?mg]VPMF?qj`ZSPICtjd]SPMFwmj`ZSPF{tjd]VPM~wjd`ZSP\81wmj`ZVP\85{qjd]ZS\85~tjd]ZS\85~wmg`]V\88\81wmjd]Z\88\85wmjd]Z\8e\81{qjg`Z\8e\85{qmj`]\8e\85~tmj`]\92\85~wmjd]\92\88~wqjg`\92\88~wqjj`\92\88\81wqjg`\92\8b\81{qjj`\95\8b\85{tmjd\95\8b\85{tmjg\98\8e\85{wmjg\9c\8e\85~wqjg\98\8e\85~wqjg\98\8e\85~tqjd\9c\8e\85~wqjg\9c\92\88~wqmj\9c\92\85\81wqmg\9f\92\88~wqmg\9c\92\88\81wtmg\9f\95\88\81wtmg\9f\92\88\81wtmg\9f\92\88\81{tmj\9f\92\88\81wtjj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\95\88\85{tmj\9f\92\8b\81{tmj\9f\92\8b\81{tmj\9f\95\88\81{tmj\9f\95\88\85{wmj\9f\95\8b\85{wmj\9f\92\8b\85{tqj\9f\95\8b\85{wmj\9f\95\8b\85{wqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wqj\9f\95\8b\85{wqj\9f\92\88\85{wq\85ÝÐƼ³¬¥äÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøîäÚÓûñäÚÓÊÀ¹ëÝÓÆÀ¹¯¬ÚÐƼ³¬¥\9fÓÍÀ¹¯©\9f\98Óʼ¶¬¥\9c\95ÓƼ¶¬¢\9f\95ÓƼ¶©¢\9c\95Ðƹ³©¢\9f\95Ðƹ³©¢\98\92Íù¯¥\9f\98\8eÆÀ³¬¢\9c\92\8eƼ¯©\9f\98\8e\88ù¬¥\9f\92\8b\85À¶©¢\9c\92\8b\85¼³©\9f\95\92\88\81¼³¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¹¬¢\9c\92\8b\85~¶¬¢\98\8e\88\81{³¬\9f\95\8b\88\81{³©\9f\95\8b\85\81w³©\9f\95\8b\85~w¯¥\9c\92\8b\85~t¯¥\9c\92\88\85{t¬¢\98\92\88\81{t¬¢\95\8e\85\81{t\8e\85{tmg`ZSMC<66/,%\1f\18\11\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\a\ 4\ 1\0\0\0\0,"\e\15\ e\v\ 4\ 4?62/(%\1f\1fSMC<62/,`VPFC<96g]VPIC?9j`ZPPFC<jdZSPFC?mg]SPIFCmj`VPMF?qj`]SPMCtmg]VSMFwqg`ZSPI{qjd]VSP~wmg`ZSP\81wmj`ZVS\81{qj`]VS\85{tjd]ZS\85~tjj`ZV\88\81wmjd]Z\88\81wqjd]]\8b\85wqjg]Z\8e\85{tmg`]\8e\85{tmj`]\8e\88~tmjd`\92\88~wmjd`\92\8b\81wqjg`\95\8b\81wtjg\88ÓƼ³¬¥\9fçÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçá×Íøîá×Íü¹äÚÍƹ¶¬¥×Íù¯©\9f\9cÓƹ¶©¢\9f\92Ðƹ¯©¢\98\92Íù³©\9f\98\92Íù¯¥\9f\9c\92Íù¯¥\9f\95\92Êö¬¢\9f\92\8eÆÀ¶¬¢\9c\95\8bƹ³©\9f\98\92\8bù¯¢\9f\95\8e\85À¹¬¢\98\92\88\85¼¶©\9f\95\8e\88\85¹¯¥\9f\95\8e\85\81¹¯¢\9c\92\8b\85~¶¬¢\9c\8e\88\85{¶¬¢\98\8e\88\81{³©\9f\95\8b\85\81{¯©\9f\92\8b\85~w³©\9f\92\8b\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\85{w¯¢\98\8e\88\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85~wt¬¢\95\8e\85~wt¬\9f\95\8e\85~wq©\9f\92\8b\85~wq©\9f\92\8b\85{wm©\9f\92\8b\81{tq¥\9f\92\8b\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81wtm¥\9c\8e\88~wqm¥\9c\8e\88\81{tm¥\9c\8e\85\81wqm¢\9c\8e\88\81{qj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj\9f\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85{wqj¢\9c\8b\85~wqj\9f\98\8b\85~wmj¢\9c\8e\85~wmj\9f\98\8e\85~wqj¢\98\8b\85~wmj¢\95\8e\85{wqj\9f\98\8b\85~wqj\9f\95\8b\85~wmj¢\98\8b\85{wqj\85~qjd]ZSMF<62/,("\e\15\11\v\a\ 4\0\ 1\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\a\ 4\ 1\ 492,("\1f\18\ePF?92/,,]VPF?<66g]SPIC?9j`ZSMF??j`ZSMIC?mg]SPIC?mg]VPMFCqj]ZPMIFtj`]VPIFwmg]ZSMIwqj`ZVPP~tjd]VPP\81wmj`]SS\85wqjd]VS\85{qjd`ZV\85~tjg`]Z\88~wqg`]Z\88\81wmj`]]\8b\81{qjg`]\8e\85{qjg`]\8e\85{tmg``\8e\88{tmj``\8e\88~wmjg`\92\88\81wqjgd\92\8b\81wqjjd\92\88\81wqjgd\95\8b\81{tmjg\95\8b\85{tmjg\95\8b\85~tmjg\98\8e\85{tqjg\98\8e\85~wqjj\9c\8e\85~wqjj\98\8e\85~wqjj\9c\92\85~wqjj\9c\92\85~wqmj\9c\92\88~wqjj\9c\92\88\81{tmj\9c\92\88\81{tmm\9f\92\88\81{tmj\9f\92\88\81{tmm\9f\92\88\81{tmj\9f\92\88\85{tmm\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\88\81{tmj\9f\92\88\85{tmm\9f\92\88\85{tmm\9f\92\8b\81{tmm\9f\95\88\85{tqm\9f\95\8b\85{tmm\9f\92\8b\85{tmm\9f\92\8b\85{tmm\9f\95\8b\85{wqm\9f\95\8b\85{tmm\9f\95\8b\85{wmm\9f\95\8b\85{tqm\9f\95\8b\85{wm¢ÚÐƼ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþøîçÚÓûñçÝÓÊùçÝÓÊÀ¹³¬ÝÓƼ³¬¥¢ÓÊÀ¹¬©¢\9cÓʼ¶¬¥\9f\98ÓƼ¶¬¥\9f\95ÓƼ³¬¢\9f\98Ðƹ³©¢\9c\92Íù¯¥\9f\98\92Êö¯¢\9f\95\8eƼ³©\9f\9f\92\8eù¯¥\9f\98\8e\88À¹¬¥\9c\95\8e\85¼¶©¢\9c\92\8b\85¹³©\9f\98\8e\88\85¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\8e\85~¹¯¢\9c\92\88\85{¶¬\9f\98\8e\88\81{³©\9f\95\8e\85\81{³©\9f\92\8b\85~w¯¥\9c\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\81{t¬¢\98\8e\88\85{t¬¢\98\8e\85\81wt¬¢\98\8e\85\81wq©¢\95\8e\85~wq©\9f\92\8e\85~wq©\9f\92\8b\85~wq¥\9f\92\8b\81~tq¥\9f\92\88\81{tq¥\9f\92\88\81{tm©\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\92\85\81{qm¢\9c\8e\85~wqm¢\9c\8e\88~{tm¥\98\8e\85~wqj¢\9c\8e\85~wtm¢\98\8e\85~wqm¢\98\8e\85~{qm¢\9c\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~tqj\9f\95\8b\85{wqj¢\98\8b\85{wqj\9f\95\8b\85{wmj\9f\98\8b\85{wmj\9f\98\8b\85~wmj¢\95\8e\85{tqj¢\95\8b\85{wmj\9f\98\8b\85{wqj\9f\95\8b\85{wmj\85{tjd]ZPMC<6/,(%\1f\18\11\ e\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\ e62,%\1f\1f\18%PF?66/,2]SPF?<69g]SPFC<?j`ZPMF??j`ZSPFCCmdZSPICCmg]VPICFmg`ZPPFItj`]SPIMwmg]VSPP{qj`]VPP~tjd]ZSV~wmg`ZSZ\85wqj`]ZZ\85{tjg`Z]\85{tmg`]]\88~wmjd]`\8b\85wqjg``\88\85wqjg]`\8b\85{tjj`d\8e\85{tmg`g\8e\85~tmjdg\92\88~wmjdg\92\88~wmjgj\92\88\81wqmg¥Ðƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûîçÝÓÍøîá×ÊƼ¶ä×Íù³©¥×ÊÀ¹¯©\9f\9cÐƹ³©¢\9c\95Íƹ¯¥¢\98\95Ðù¯¥\9f\9c\92Ðù¯¥\9f\9c\95Êù¯¥\9f\98\92ÊÀ¶¬¢\9f\98\8eƼ³¬¢\9c\92\8eƹ³¥\9f\98\8e\8bù¬¥\9c\95\8e\88À¶©\9f\98\92\88\85¼¶¥\9f\98\8e\88\85¹³¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85\81¶¬¢\9c\8e\88\81~¶¬\9f\95\8e\88\85~³©\9f\95\8e\85\81{³¥\9f\95\8b\85~w¯¥\9c\92\8b\85~w¯¥\9c\92\88\85~w¬¥\98\92\88\85{w¬¢\98\8e\85\81{t¬¢\98\8e\85\81wt¬\9f\98\8b\85~wq\8e\85{tmj`]SMC<66/,(\1f\e\15\ e\v\ 4\ 4\a\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\ 1,"\e\15\11\ e\v\1f?6/,("\1f/PIC966/6]VPFC<6?g]SPFC<Cj`VPIF?Cj`ZSPFCFjdZSPIFFmg]VPIFIqj`ZSMFMtj`ZSPMPwmd]VSPSwqj`ZVPV~tjd]VPZ~wmg]ZS]\81wmj`]V]\85{qjd]Z`\85{tjg`Z`\88~tmj`]d\88\81wmjd]g\88\81wqjg]g\8b\85{tjd`j\8e\85{tmj`j\92\85~tmj`j\8e\85~tmjdj\92\88~wqjdm\92\88\81wqjgm\95\88\81wqmgm\95\8b\81{qmjm\95\8b\85{tmjq\95\8b\85{tmjq\95\8b\85{tmjq\98\8b\85~tmjq\98\8e\85~wqjt\98\8e\85~wqjt\9c\8e\85~wqjt\98\92\85\81wqjt\9c\8e\85~wqjw\9c\92\88\81{qmw\9c\92\88\81wtmw\9c\92\88\81wqmw\9f\92\88\81{qmw\9c\92\88\81{tmw\9f\92\88\81{qmw\9f\92\88\81{tmw\9f\92\88\81{tm{\9f\92\88\81{tmw\9f\92\88\81{tm{\9f\92\88\85{tm{\9f\92\88\81{tm{\9f\95\8b\85{tm{\9f\95\88\81{tq{\9f\95\8b\85{wm{\9f\95\8b\85{wm{\9f\95\88\85{tm{\9f\95\88\85{tm~\9f\92\88\85{tq{\9f\92\88\85{tm~\9f\95\8b\85{wqÀÚÓƹ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøñÿÿþøîçÝÓþñçÝÓÊüçÝÓÆÀ¹¯¬ÚÓƼ¶¬¥¢×ÍÀ¹¯©\9f\9fÓʼ¶¬¥\9f\9fÓƼ³¬¢\9f\9fÓƹ³¬¢\9f\9cÐƼ³©\9f\9c\9cÍù¯©¢\98\95Êù¬¥\9f\95\92Ƽ³©¢\9c\92\92ù¯¥\9f\95\92\8bÀ¹¬¢\9c\95\8e\88¼¶©¢\9c\92\88\88¼¯©\9f\95\8e\88\85¹¬¥\9c\92\8b\85\85¹¬¢\9c\92\88\85\85³¬\9f\98\8e\88\81\81¶¬\9f\98\8e\88\81~¯¬\9f\95\8b\88~~¯©\9c\92\88\85~{¯¥\9c\92\88\85~{¯¥\9f\8e\88\85{w¯¥\9c\92\88\85{w¬¢\95\8e\88\81{w¬¢\98\8e\85~ww¬\9f\95\8e\85\81wt©\9f\95\8e\85~ww©\9f\95\8b\85~wt©\9f\95\8b\81~tt©\9f\92\88\85~tq¥\9f\92\88\81{tt¥\9f\92\88\81{tq¥\9f\8e\88\81{tt¥\9c\92\85~{qq¢\9c\92\88\81wtq¥\9c\8e\85\81{qq¥\9c\8e\85~wqq¥\9c\8e\85~wqq¢\9c\8e\85~{qq¢\98\8e\85~wqq¢\9c\8e\85~wqq¢\98\8e\85~wqm¢\98\8b\85~wqm¢\9c\8e\85{wqm¢\98\8e\85~wqm¢\98\8b\85~wmm\9f\98\8e\85~wqm\9f\98\8e\85~wqm\9f\98\8b\85{tqm\9f\98\8b\85{wqm¢\98\8e\85{tmm\9f\98\8b\85~tqm\9f\95\8b\85{wmm¢\98\8b\85{tmm\9f\98\8b\85{wmm\9f\95\8e\85{wqm¢\98\8b\85{wqj\85~qjd]VSMF<62/,%"\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\ 4\1f\18\11\v\ 4\ 4\0%6/,%"\1f\186PF<62/,F]SMF?96Md]VPFC<Pj`ZPMF?PjdZSMI?Pj`]SPICSmg]VPIFSmj]ZPMFZqjdZSPI]wmg]VPM]{qj`]SPd{tjd]ZPg\81wmg`ZSj\81{mj`]Vj\85{qjd]Zm\85{tmg`Zm\88~wmj`]m\88\81wqj`]t\8b\81{mjd]q\8e\85wqjg`t\8e\85{tjg`w\8e\85{tmg`w\92\85~tmj`{\92\88~wqjdw\92\88~wqjgw\92\88\81wtjg{\92\88\81wqmg~\95\8b\85{tmj~\95\8e\85{tmj~\95\8b\85{tmj~\95\8e\85{tqj\81\98\8e\85{tqj\81\98\8e\85~wqj\81\98\8e\85~wqj\81\98\8e\85~wqj\81\9c\8e\85~wqj\85\9c\8e\85~wqm\85\9c\8e\85~wtm\85\9c\92\85\81wtj\85\9f\92\88~{qm\85\9c\92\88\81{tm\85\9c\92\88\81wtm\85\9f\92\88\81{tm\85\9f\92\88\81{tm\88\9f\92\88\81{tm\85\9f\92\88\81{tm\85\9f\92\88\81{tm\88\9f\92\88\81{tm\88\9f\95\88\81{tm\88\9f\92\88\81{tm\88\9f\92\8b\81{tm\88\9f\92\88\81{tm\88\9f\92\88\85{tm\88\9f\92\88\85{tm\88\9f\92\8b\85{tq\88\9f\95\88\85{tq\8b\9f\95\88\85{wmÓÚÐü³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôñÿÿþøëäÚ×ûñäÚÓÆÀÀçÝÐÆÀ¹¯¯ÝÐƼ¶¯¥¬Óʼ¶¬©\9f¥ÓƼ¶¬¥\9f¥Óƹ³¬¥\9c¥Ðƹ³©¢\9f¢Ðƹ¯©¢\9c\9fÍù¯¥\9f\98\9fʼ¶¬¢\9f\95\9fƼ³©¢\9c\92\98ƹ¯¥\9f\98\92\95À¹¬¢\9c\92\8e\92¼³©\9f\98\92\88\8e¹³©\9f\95\8e\88\92¹¯¥\9f\92\8b\85\8b¹¬¢\9c\92\8b\85\88¶¬¢\98\8e\88\81\88³©\9f\98\8e\85\81\88³©\9f\95\8b\85\81\85³¥\9f\92\88\85~\85¯¥\9f\92\8b\85~\85¯¥\9c\8e\88\85~\81¬¢\9c\8e\88\81{\81¬¢\98\8e\85\81{\81¬\9f\98\8e\85~{t\8e\85{qjg`ZSMC<62/("\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\18(\1f\18\11\ e\v\a2<6/,("\1fFPI?<62/P]SPF?<6Sd]SPF?<Zj`VPMF?Zj`ZSPFCZjdZSPIC]mg]VPMF]qj`ZSPFgtjd]VPMgwmg]ZSPj{qj`ZVPm~tjd`ZSm~wmg]]Vq\81wqjd]Zt\85{tjd`Zw\85~tjg`]w\85~wmgd]{\88\81wqjd]{\8b\85{mjg`~\8b\85{tjg`\81\8e\85{tjg`\81\8e\85~tmjd\85\92\85~tmjd\85\92\85~wqjg\85\92\88~wqjdÍÓƹ³©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîîÿÿûñäÝÓÐôëÝÓÊü¼áÓÊù³¬¯×ÍÀ¹¯©\9f¬Ðƹ³©¢\9c©Êƹ¯¥\9f\9c©Íù¯¥\9f\95©Êù¯¥\9f\98©ÍÀ¹¬¢\9f\98¢Ê¼¶¬¢\9f\92¢Æ¼³©\9f\9c\92\9fƹ¯¥\9f\98\8e\9fÀ¹¬¢\9f\95\8b\98¼³©\9f\95\92\88\95¹¯©\9f\95\8e\88\92¹¯¢\9f\92\8b\85\92¹¬¢\95\92\88\85\8e¶¬¢\98\8e\88\81\8e³©\9f\95\8e\88\81\8b³¥\9f\95\8b\88\81\8b³¥\9f\92\8b\85~\88¯¥\9c\92\88\85~\88¬¢\98\8e\88\81{\88¬¢\98\8e\88\81{\85¬¢\95\8e\88\81{\85¬\9f\95\8e\85\81w\85©\9f\95\8b\85~w\85©\9f\92\8b\85~w\81©\9f\95\8b\85~w\81©\9f\92\8b\85{t\81¥\9f\92\88\85{t\81¥\9f\92\88\81{t\81¥\9c\92\85\81{t\81¥\9f\92\88\81wt\81¢\9c\92\88\81{t~¢\9c\8e\85\81{q~¥\9c\8e\88\81wt~¢\9c\8e\85~wq~¢\9c\8e\85~wq~¢\98\8e\85~wq~¢\95\8e\85~wq~¢\98\8e\85~wq{¢\95\8e\85~wq{¢\95\8e\85~wq{¢\98\8b\85{wq{\9f\98\8e\85{wq{¢\98\8e\85~wm{¢\98\8b\85{wq{\9f\95\8b\85{tm{\9f\95\8b\85~wm{\9f\95\8b\85{tq{¢\95\8b\85{wq~\9f\98\8b\85{wq{\9f\98\8b\85{wm{\9f\95\8b\85{tm{\9f\98\8b\85{tm{\9f\95\88\85{wm{\9f\95\8b\85{wm{\9f\95\8b\85{tmj\85{qjd]ZPMC<62/,%\1f\e\15\ e\v\a\ 4\ 1\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\1f"\e\15\ e\v\a\ 4692,("\1f\ePPI?96//Z]VPFC<6`g]SPIC?`j`VPMF?`j`ZSMICdj`ZSPICgmg]SPIFjmg]VPMFmqj`ZSPIqwjd]VPMtwqg`ZSPw{tj`]ZP{~wmg`ZS{\81wmj`]V\81\85{qjd]Z\81\85~tjg`Z\85\85~tmg`]\85\88~wmj`]\85\8b\81wqjd`\88\8b\85wqjg`\88\8b\85{qjg`\8b\8e\85~tmj`\8b\8e\85{tmjd\8b\8e\88~wmjd\92\8e\88~wqjg\8e\92\88~wmmg\92\92\88\81{qmg\92\95\88\81wqmj\92\92\8b\85{tmj\95\95\8b\81{tmj\95\98\8e\85{tmj\95\98\8e\85{tmj\95\98\8e\85~wqj\95\98\8e\85~wqj\95\98\92\85~wqj\95\9c\8e\85~wqj\95\9c\92\85~wqm\98\9c\92\85\81wqj\98\9c\8e\88~wqm\98\98\8e\88\81{tj\98\9c\92\88\81wqm\9c\9c\92\88\81{tm\9c\9c\92\85\81wqm\9c\9c\92\88~wtm\98\9f\95\88\81wtm\9f\9f\92\88\81{tm\9f\9c\92\88\81{tm\9f\9f\92\88\85wtm\9f\9f\92\88\81{tm\9f\9f\92\88\81{tm\9f\9f\92\88\81wtm\9f\9f\92\88\85{tm\9f\9f\95\88\85{tm\9f\9f\92\88\85{tm\9f\9f\95\8b\85{wm\9f\9f\92\88\81{tq\9f\9f\92\88\81{tmáÚÐù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûøþÿÿþøîäÚáûîäÚÓÊÃÊëÝÓƼ¹³ÃÚÐƼ¶¬¥¼Óʼ¹¯©\9f¼ÓƼ³©¥\9f¼ÓƼ³¬¢\9f¼Ðƹ³©¢\9c¹Ðƹ³©¢\9c¹Íù¯¥\9f\98¶ÊÀ¶¬¢\9f\95¯Æ¼³©¢\9c\95¬Ã¹¯¥\9f\95\8e¬À¶¬¢\9c\92\8b¥¼³©\9f\95\92\88¢¹¯¥\9f\92\8e\88¢¹¯¢\9c\92\8b\85\9f¶¬\9f\98\92\88\85\9f¶©\9f\98\8e\88\81\9f³©\9f\92\8b\85\81\9c³©\9f\95\8b\85~\9c¯¥\9f\95\8b\85~\9c¯¥\98\92\88\85~\98¬¥\9c\92\88\85{\98¬¢\9c\8e\88\85{\95¬¢\95\8e\85\81{\95©¢\92\8e\85\81w\95©\9f\95\8e\85~w\92©\9f\92\8b\85~w\92©\9f\95\88\85~w\92¥\9f\92\88\85{t\92©\9f\92\88\85{t\8e¥\9f\92\88\81{t\8e¥\9f\92\88\81{t\8e¥\9c\8e\88\81{t\8e¥\9c\92\85\81{t\8e¢\9c\8e\85\81wt\8e¢\9c\8e\85~wq\8b¢\98\8e\85~wt\8b¢\98\8e\85~wt\8b¢\98\8e\85~wq\8b¢\98\8e\85~wq\8b¢\95\8e\85~{q\8b¢\98\8e\85~wq\8b¢\95\8e\85{tm\88¢\98\8e\85~wq\8b\9f\95\8b\85{wq\8b\9f\98\8b\85~wq\8b¢\98\8b\85{wm\88\9f\95\8b\85~tm\88¢\98\8e\85{tq\88\9f\95\8b\85~wm\88¢\95\8b\85~wq\88\9f\95\88\85{wm\88\9f\95\8b\85{tm\88\9f\95\8b\85~wm\88\9f\95\8b\85{wm\88\9f\92\8b\85{tm\88\9f\95\88\85{wmq\85{qj`]ZSIC<62/,"\1f\18\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\ 1\ 4\0\0\0\0\0\0"\1f\15\ e\v\ 4\ 1\0?6/,%\1f\1f\18VPF<62/,g]SMC?96jg]SMFC<mj`ZPMC?mjdZSMFCmj`]SPICqmd]SPICtmg]VPMFwqj`ZSPI{tmg]VSP~wmj`ZSP\81~tj`]VS\85~tmg]ZS\88\81wmj`]S\88\85{qjd]V\8b\85{tjg`Z\8b\85~tmg`]\8e\88\81wqj`]\92\8b\81{qjd`\92\8b\85{qjg`\95\8b\85{tmj`\95\8e\85{tmjd\98\92\85{wmjd\98\8e\85~tmjd\98\92\88~wqjd\9c\95\88\81wqjg\9c\92\88\85wqjg\9c\95\88\81{tmj\9f\95\8b\81{qmj\9f\98\8b\85{tmj\9f\98\8e\85{tmj\9f\98\8b\85~tmj\9f\98\8b\85~tmj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\92\85\81wqj¢\9c\8e\85~wqj¢\9c\92\85~wtm¥\9f\92\85\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9f\92\88\81wqj¥\9c\92\88\81{tm¥\9c\92\88~wtj¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\8b\81{wm¥\9f\92\88\85{tm¥\9f\92\88\81{tmäÚÍù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôîäÚîûîá×ÐÊÃÚçÝÐƼ¹¯ÐÚÐù³¬¥ÍÓʼ¹¬¥\9fÊÓƹ³¬¢\9fÊÐƹ³¬¥\9fÊÓƹ¯©\9f\9cÆÍù¯©¢\9cÆÍù¯¥\9f\95ÃÊÀ¶¬¢\9f\95¼Æ¼³©\9f\98\92¼Ã¹¯¥\9f\98\8e¹À¶¬¢\9f\92\8b¶¼³¬\9f\95\92\88¶¹³¥\9f\95\8e\85³¹¯¢\9c\92\8b\85³¹¬¢\9c\92\88\85¯¶¬\9f\98\8e\88\85¬³©\9f\98\8e\85\81¬¯©\9f\92\8b\85~©¯¥\9f\92\8b\85{©¯¥\9c\92\88\85~¥¯¥\98\8e\88\85{¥¬¢\98\8e\85~{¥¬¢\98\8e\85~w¢¬\9f\95\8b\85\81w\85\8e\85{tmg`ZPIC<62/,%\1f\15\11\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0/%\1f\18\11\ e\v\ 4F<6/,%"\1f]PIC96/,g]SPFC<6mg]SPFC<qg`VPIF?tj`ZPMF?tjdZSPICwmg]SPMF{qj]ZPMI~qj`]VPM\81wmg]VSP\85{qj`]VP\88~tjg]ZS\88\81wmg`]S\8e\81wmj`]Z\8e\85{qjg]Z\92\85{tmg`Z\92\88~tmj`]\92\88\81tqj`]\95\88\81wqjg`\95\8b\85{qjg`\9c\8b\85{qmg`\9c\8e\85{tmj`\9f\8e\85~tmjd\9f\8e\88~wmjd\9f\92\88\81tmjgÝÐü³©¢\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓîôëÝÓÊùÝá×Êù³©Ó×ÊÀ¹¯©\9fÐÐƹ¯©¢\98ÍÍù¯¥\9f\98ÐÊù¯¥\9f\98ÐÊÀ¶¯¥\9f\98ÍÊÀ¶¬¥\9f\98Êʼ¶¬¥\9c\92Æƹ³©\9f\98\92Ãƹ¯¥\9f\95\8eÀÀ¶¬¢\9f\92\8e¼¼³©\9f\95\92\88¹¹¯¥\9f\95\8e\85¹¹¬¢\9c\92\8b\85¶¶¬¢\9c\92\88\85¶¶¬\9f\98\8e\88\85³³©\9f\92\8e\85\81³³¥\9f\92\8b\85\81¯¯¥\9c\92\8b\85~¬¯¢\9c\92\8b\81{¬¯¢\98\8e\88\81~¬¬¢\98\8e\85\81{¬¬\9f\95\8b\85~w©©\9f\95\8b\85~w©©\9f\95\8b\85~w©©\9f\95\8b\85~w¥¥\9f\92\88\85{t¥¥\9f\92\88\85{t¥¥\9c\92\88\81{t¥¥\9c\92\88\81{t¥¥\9c\92\88\85wt¥¥\9c\8e\85\81wt¥¢\98\8e\85~wt¢¢\9c\8e\85~wq¢¢\98\8e\85~wq¢¢\98\8e\85~wq¢¢\98\8e\85~wq¢¢\98\8e\85~wq¢¢\98\8e\85~wq\9f¢\98\8b\85{wm¢¢\98\8b\85{wm¢¢\98\8e\85{wq¢\9f\95\8e\85~wm¢¢\95\8b\85{tm\9f¢\95\8b\85{tm\9f¢\95\8b\85{wq¢\9f\95\8b\85{wm\9f¢\95\8e\85{tm\9f\9f\95\8b\85{wm¢\9f\95\8b\85{tm\9f\9f\95\8b\81{tm\9f\9f\92\8b\85{tm\9f\9f\95\88\85{tm\9f\9f\95\8b\85{tm\9f\9f\95\88\81{tm\9f\9f\92\8b\85{tm\9f\9f\95\88\85{tm~\85{qjd]VPMC<6//,%\1f\e\11\ e\a\a\ 4\ 1\0\0\0\0\0\0\0\11\a\ 4\0\0\0\0\0,\1f\e\11\ e\v\a\ 4C62,("\1f\eZPF?92/,j]VMF?96qg]SPFC<wj`ZPMF?wj`ZPMFCwj`]SMICwjg]SPIC{mg]VPMF~qj`ZSPF\85tjd]VPM\85wmg`ZSP\88{tjd]ZP\8b~tmg`ZS\8e\81wmj`]V\92\85wqj`]V\92\85{qjd`Z\92\85~tmg`]\95\88~tmj`]\98\88\81wmjd]\98\8b\85wqjg`\9f\8b\85{tjg`\9c\8b\85~tjj`\9f\8b\85{tmj`\9f\92\85~tmjd\9f\8e\88~wmjd\9f\92\88\81wqjg¢\95\88\81wqjg¢\92\88\81wqmg¢\95\8b\81wtmj¢\95\8b\81{tmj¢\95\8b\85{tmj¢\98\8b\85{tmj¢\95\8e\85{wmj¢\9c\8e\85{tqj¥\98\8e\85~tmj¥\98\8e\85~wqj¥\9c\8e\85~wqj¥\98\8e\88~wtj©\9c\8e\85~wtm©\98\92\85\81{tj©\9c\92\85~wqm©\9c\92\85~wtm©\9f\8e\88\81wqm©\9c\92\85\81wtm©\9f\92\88\81{qm©\9c\92\88\81wtm©\9f\92\88\81wtj©\9f\92\88\81{tj¬\9f\92\88\81{tm©\9f\92\88\81{tj©\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{qm¬\9f\92\88\81{tm©\9f\92\88\81{tm¬\9f\92\8b\81{tmäÚÐù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþñÿÿÿþôëäÚþûîäÚÐÊÀîçÚÐƼ¹¯äÚÐƹ³¬¢ÝÓÊÀ¶¬¥\9fÝÐƼ³¬¢\9fÝÐƹ³©¢\9cÝÐƹ¯¬¢\9fÚÐƹ¯©¢\9c×ÍÀ¹¬¥\9f\98Óʼ¶¬¢\9f\95Ðƹ³©\9f\9c\92Íù¯¢\9f\92\92ÆÀ³¬¢\9f\95\8bƹ³©\9f\95\92\88ù¯¥\9f\92\8e\88À¹¬¢\9c\92\88\85¼¹¬¢\95\92\88\85¼³©\9f\98\92\88\85¼³¥\9f\95\8e\88\81¹³¥\9f\92\8b\85~¹¯¥\9f\92\8b\85~¹¯¥\9c\92\88\85~¹¬¢\98\8e\88\81{¹¬¢\9c\8e\88\81{¶¬¢\98\8b\88~{¶¬\9f\95\8b\85~{\8e\8e\85{qjg]ZSIC<62/,"\1f\15\11\v\a\ 4\ 4\ 4\0\0\0\0\0\0\e\11\a\ 4\0\0\0\0/%\1f\15\11\ e\v\aI<6/(%"\1f]PI?96/,j]VPF?96qdZSMFC<tj]VPMC?wj`ZPMFCwj`ZSPFC{jd]SPIC~mj]VPPF~qj`ZSPI\85tmg]VSP\85wmg`ZSP\88{qjd]VP\8b~tjg]ZV\8e\85wmj`]V\92\81{qjd]Z\92\85{tjg`Z\92\85~tmg`]\95\88~tmjd]\95\88\81wqjg`\98\8b\85wqjg`\9c\8b\85{qmg`\9c\8e\85~tmjd\9f\8e\85{tmjd\9f\8e\85~wqjg\9f\92\85~wmjdÝÐù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓþñëÝÓÊƹîá×Êù¯©äÓÊÀ¶¬¥\9fÝÍƹ¯©\9f\9c×Íù¬¥\9f\98ÚÊÀ¶¬¥\9f\98ÚÍÀ¹¬¥\9f\95Úʼ¶¬¥\9f\95×ʼ³©¢\9c\92Óƹ³©\9f\98\92Ðù¯¥\9f\95\8eÍÀ¶¬¢\9c\92\8bʼ³©\9f\95\8e\88ƹ¯¥\9f\95\8b\88ƹ¬¥\9c\92\8b\85ù¬¢\98\92\88\81ó©\9f\98\8e\88\81À³©\9f\92\8b\85\81¼³¥\9f\92\8b\85~¼¯¥\9f\92\88\85~¹¯¢\9c\92\88\85~¹¯¢\9c\8e\88\81{¹¬\9f\95\8e\88\81{¹¬\9f\95\8b\85\81{¶¬\9f\95\8b\85~{¶©\9f\98\88\85~w¶©\9f\92\88\85~w³¥\9f\92\88\85~w³¥\9f\92\88\85{t³¥\9f\92\8b\81{t³¥\98\92\85\81{t¯¥\9c\8e\85\81{t¯¢\9c\8e\85~wt¯¢\9c\8e\85~wt¯¢\9c\8e\85~wq¯¥\98\8e\85\81wq¯¢\95\8e\85~wq¯¢\9c\8e\85~wq¯¢\95\8e\85~wq¯¢\98\8e\85~tq¯\9f\95\8e\85~wq¬¢\95\8b\85~wq¯¢\98\8b\85~wm¯\9f\98\8b\81~wq¯\9f\95\8b\85~tq¬\9f\95\8b\85{tm¯\9f\95\8b\85{tm¯\9f\92\8b\85{tq¬\9f\95\8b\81{tm¬\9f\95\88\81{tm¯\9f\95\8b\81{tm¬\9f\95\8b\85{tm¬\9f\95\8b\85{tm¬\9f\95\88\81{tm¬\9f\92\8b\81{tm¬\9f\92\8b\85{qm¬\9f\92\8b\81{tm¬\9f\92\8b\81{tm\88\81wqj`]SPI?96//("\1f\15\11\v\ 4\ 4\ 1\ 1\0\0\0\0\0\0\0\11\ 4\0\0\0\0\0\0%\1f\18\11\v\ 4\ 4\ 1?62,("\1f\eVPF<62/,j]SMF?96qg]SPFC<tj`ZPMFCwj`ZSPICwjdZSPICwmg]SPMF{mj`VPMF~qj`ZSPI\81tjd]VPM\85wmg`ZSP\85{qjd]VP\8b~wmg`ZS\8e\81wmg`]V\8e\85wqj`]V\92\85{tjd]Z\92\85~tmg`Z\92\88\81tmj`]\95\88~wqjd]\98\8b\85wqjg]\9c\8e\81{qjg`\9f\8e\85{tjj`\9f\8e\85{wmj`\9f\8e\85~tmjd\9f\8e\85~tmjd\9f\92\88~wqjd\9f\92\88~wqjg\9f\92\88\81wtmg¢\92\88\85wqjg¢\95\8b\81{qmg¢\92\8b\85{tmj¢\98\8b\81{tmj¥\95\8b\85{tmj¢\95\8e\85{tqj¥\98\8e\85~tqj¥\98\8e\85~wqj¥\95\8e\85~wqj¥\9c\8e\85~wqj¥\9c\8e\85~wqj¥\9c\8e\85~wqj¥\98\8e\85\81wqj¥\9c\8e\85~wtm¥\98\92\85~wtm¥\9c\92\88\81{tm¥\98\92\85~{tj©\9c\92\88~{tm¥\9c\8e\88\81{tm©\9c\92\88\81{qm©\9c\92\88\81{tm©\9c\92\88\81wtm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm©\9c\95\88\81wwm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tmä×Íù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþøîäÚÿûîäÚÓÆÀøçÚÐƼ¹¯îÚÐƹ³¬¢äÓʼ¶¬¥\9fáÓƹ³¬¢\9fáÓƹ³©¢\9fáÐù¯©¢\98ÝÍù¯©\9f\98ÝÍÀ¹¬¥\9f\95Úʼ³¬¢\9f\95×ƹ³¥\9f\98\92Óƹ¯¥\9f\95\8eÐÀ¶¬¢\9c\92\8bʼ³©\9f\98\8e\88ʹ¯¥\9f\95\8e\88ƹ¬¢\9c\92\8b\85ƶ¬¢\98\92\88\85ó©\9f\95\8e\88\81ó©\9f\92\8e\85\81À¯¥\9c\92\8b\85~¼¯¥\9c\92\8b\85{¼¯¢\9c\8e\88\81{¹¬\9f\98\8e\88\81{¹¬\9f\95\8b\85\81{¹©\9f\98\8e\85\81{¹©\9f\95\8b\85~{¹©\9f\95\88\85~w¹©\9f\92\8b\85{w¶¥\9f\92\88\85{w¹¥\9c\92\88\85{t¶¥\9c\92\88\81{t¶¥\9f\92\88\81{t³¥\9c\92\88\81wt³¥\9c\8e\85~wt³¢\98\8e\85~wt³¥\9c\8e\85~wq³¢\98\92\85~wq¯¢\98\8e\85~wt¯¢\98\8e\85~wq¯¢\98\8b\85~wq¯¢\95\8e\85{wq¯\9f\95\8b\85{wq¯\9f\95\8b\81~wq¯\9f\95\8b\85{tq¯¢\95\8b\85{tq¬\9f\95\8b\85{tm¯\9f\95\8b\85{tm¯\9f\95\8b\85{tm¯\9f\92\8b\85{tm¯\9f\92\8b\85{tm¯\9f\92\8b\81{wm¬\9f\92\88\85{tm¯\9f\95\88\85{tm¯\9f\92\88\85{tm¬\9f\92\8b\81{tm¬\9f\92\88\81{wm¬\9f\95\88\85{tm¬\9f\95\8b\81{tq\8e\85{qjg]ZPMC<62/,%\1f\18\11\ e\v\a\ 1\ 4\0\0\0\0\0\0\0\ e\ 4\ 1\0\0\0\0\0(\1f\18\11\ e\a\ 4\ 1?6/,%\1f\1f\18VPF<62/,gZSMC?96md]SMFC<qg]VPIF?tj`VPPF?wj`ZSPFCwj`]SPICwmj]VPMF{qj`ZSPI~tmd]VPM\81wmg`ZSP\85{qj`ZVP\85~tjg]ZS\8b\81wmg`]S\8e\81wmjd]Z\8e\85{qjd]V\92\85{tjg`Z\92\85~tmg`]\92\88\81wmjd]\95\88\81wqjg`\95\88\81{qjg`\95\8b\85{qjg`\98\8e\85{tmg`\9c\8e\85~tmj`\9c\8e\85~tqjdÚÐƹ¯©\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîáÚÓÿñçÝÓÆùñÝÓʼ¹¯©äÓƼ¶¬¥\9fÝÊù¯¥\9f\98ÚÊÀ¹¬¢\9f\98ÚÊÀ¶¬¢\9f\95ÚÊÀ¶¬¥\9f\92×Ƽ³©¢\9f\95×Ƽ³©\9f\98\92Óƹ³©\9f\9c\92Óù¬¥\9f\98\8eÐÀ¶©¢\9c\92\8bʼ³©\9f\98\8e\88ʹ¯¥\9f\92\8e\85ƹ¬¢\98\92\8b\85ƶ©\9f\95\92\88\85ö©\9f\95\8e\88\81À³©\9f\95\8b\85\81À¯¥\9c\92\8b\85~¼¯¥\9c\92\88\85{¼¯¢\9c\8e\88\81{¼¬¢\98\8e\85\81{¹¬\9f\95\8e\88\81w¹¬\9f\92\8b\85\81w¹©\9f\95\8b\85~w¹©\9f\92\8b\85~w\9f\8b\81wqjd`VPI?96//("\1f\15\ e\v\a\ 1\v\ 4\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,%\1f\18\ e\v\v\ 4C96/(""\1fVPF?96//gZSMC?96j`]SPF?<mg]VPIC?qj`ZPMFCtj`ZSPICwjg]SPMCwmg]VPPF{qj`ZSPI~tjg]VPM\85wmj`]SP\85{qjd]ZS\88~tmg]ZS\8b~wmj`]V\8b\85wmjd]Z\8e\85{qjg`Z\8e\85~tmg`]\92\85~tmjd]\92\85\81wmjd]\95\8b\81wqjd]\95\8b\85{qjg]\98\8e\85{tmj`\9c\8b\85{tmj`\9c\8e\85{tmjd\9c\8e\85~wmjdÝÐù³©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓÿñçÚÓÊùîáÓÊÀ¹¯¬äÓÊÀ¶¯©\9fÝÍù¯©\9f\95ÚÍÀ¹¬¥\9f\95ÚÊÀ¶¬¥\9f\95ÚÊÀ¶¬¥\9f\95ÚÊÀ¶¬¢\9f\95×Ƽ³©¢\9f\92Óƹ¯¥\9f\95\92Ðù¬¥\9f\95\8eͼ¶¬¢\98\92\8bʹ³¥\9f\98\8e\88ƹ¯¥\9f\95\8e\88ƹ¬¢\98\92\8b\85ö¬\9f\95\92\88\81À³©\9f\95\8e\88\81¼¯¥\9f\92\8e\85~¼¯¥\9f\92\88\85~¼¯¥\9c\92\88\85~¼¬¢\98\92\88\81{¹¬¢\95\8e\88\81{¹¬\9f\95\8e\85\81w¹©\9f\95\8b\85\81w¹©\9f\95\8b\85~w¶©\9f\92\8b\85~w\9c\88\81wqjd]VPI?96/,("\e\15\ e\v\a\a\a\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,"\1f\18\11\v\v\ 4C96/("\1f\eVPF?92/,d]SIC?96j`ZSMFC<mg]SPIC?qj]SPIF?qj`ZPIF?tj`ZSPICwmg]VPMF{qj`ZSPI~tjd]VPM\81wmg`ZSP\85{tjd]VP\85~tjd]ZS\88~wmg`ZV\88\81wqj`]V\8b\85{qjd`Z\8e\85{tmg`Z\8e\85~tmjd]\92\88~wmjd]\95\88\85wqjd]\95\8b\81{qjg]\95\8b\85{tjj`\98\8b\85{tmjd\98\8e\85{tmjd\9c\8e\85~tqjg\9c\8e\85~wqjg\9f\92\88~wqmg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\95\88\81{tmj\9f\95\88\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj¢\95\8e\85~wqj¢\9c\8e\85~tqj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~tqj¢\98\8e\85~wqj¢\9c\8e\85~wmj¢\9c\92\85~wqj¥\9c\92\85\81wqj¥\9c\92\85~wqm¢\9c\92\85~wqj¥\9c\92\88\81wqm¢\9c\92\88\81wtm¥\9f\8e\88~wtm¥\9f\8e\88~{tm¥\9c\92\88\81wtj¥\9c\92\88\81wtj¥\9c\92\88\81wqj¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wtj¥\9f\92\88\81{tmä×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþñëáÚÿûîä×ÐÆÀñäÚÐƼ¶¬äÚÍƹ³¬¢ÝÓƼ³¬¢\9fÚÐù¯©¢\9fÚÐƹ¯©\9f\9fÚÐù¯©¢\9fÚÐÀ¹¯¥\9f\9c×ÊÀ¹¬¥\9f\98ÓƼ¶¬¢\9c\92Óƹ³©\9f\9c\92Ðù¯¥\9f\95\8eÊÀ¶©\9f\9c\92\8bʼ³©\9f\98\8e\88ƹ¯¥\9f\92\8b\88ù¬¢\9c\92\88\85ö©\9f\98\92\88\85À¶©\9f\95\8e\85\85¼³¥\9f\92\8b\85\81¼¯¥\9f\92\8e\85~¹¯¢\9c\92\8b\85~¹¬¢\9c\8e\88\81{¹¬¢\98\8e\88~{¹¬¢\98\8e\85~{¶©\9f\95\8b\85~w¶©\9f\95\8b\85~w³©\9f\92\88\85~w³¥\9f\92\88\85{t³¥\9c\92\88\81{w³¥\9f\92\88\81{t¯¥\9c\8e\88\81wt¯¥\9c\8e\85\81{t¯¢\98\8e\85~wq¬¥\9c\8e\85\81wt¯¢\98\8e\85\81wq¬¢\98\8e\85~wq¬¢\98\8e\85~wq¯¢\95\8b\85~wq¬\9f\95\8e\85~wq¬¢\95\8e\85~wm¬¢\95\8b\85~wq¬\9f\95\8b\85{tq¬\9f\95\8b\85{tm¬\9f\95\8b\81{tq©\9f\92\8b\85{tq©\9f\92\88\81~tq©\9f\95\88\85{tm©\9f\95\88\81{tm¬\9f\95\88\81{tm¬\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\92\88\81{qm©\9f\92\88\81{tm©\9f\92\88\85{tm\8e\81wqg`]VPIC96/,("\1f\15\ e\v\ 4\ 1\0\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/,"\1f\e\15SPC962/,`ZPIC?96j`ZSMFC<mg]VPIF?qj`ZPMF?qj`ZSMFCtj`]SPFCwmg]VPMF{qj`ZSPI{tjd]VPP\81wmg]ZSP\85{qj`]VP\85~tjd]ZS\88~tmg`]S\8b\81wqj`]Z\8b\85{tjg]Z\8e\85{tjj`]\8e\85~tmjd]\92\88~wmjd]\8e\88\81wqjd]\95\88\81{qjg`\95\8b\85wtjg`\95\8b\85{tmg`\98\8e\85~tmjd\98\92\85~wmjdÚÍù¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿÿøîäÚÓþñçÝÓÊÀ¹ëÝÓÊÀ¹¯©áÓƼ¶¬¥\9f×Íù¯¥\9f\9c×ÊÀ¹¬¢\9f\98Óʼ¶¬¥\9f\98Óʼ¹¬¢\9f\95Óʼ¶©¢\9f\95ÓƼ¶©¢\98\95Ðƹ¯¥\9f\98\92Íù¬¢\9f\95\8eÊö¬\9f\9c\92\8bƼ¯©\9f\95\8e\88ƹ¯¥\9f\95\8b\85À¹¬¢\98\92\88\85¼¶©\9f\95\8e\88\85¼³©\9f\95\8e\85\81¼³¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¹¯¢\9c\92\88\85{¹¬¢\9c\8e\88\81~¶¬¢\98\8e\88\81{¶¬\9f\98\8e\85~{³©\9f\95\8b\85\81w³©\9f\95\8b\85~w³©\9f\92\8b\85~w\95\8b\81wqjg]ZPIC<62/,%\1f\18\15\ e\v\ 4\v\ 4\0\0\0\0\0\0\18\11\v\ 4\0\0\0\0,%\1f\18\11\ e\v\ 4C96/("\1f\eVPF?96/,`ZSMC?96j`ZPMF?9mg]SPIC<mj]VPIF?qj`ZPMF?qj`ZSPICtmd]VPIFwqj`]SPF~qjd]VPI\81wmg]ZSP\85{qj`]SP\85~qjd]ZS\88~wmg`ZS\88\81wmjd]V\8e\85{qjd]Z\8e\85{qjd`Z\92\85{tmg`]\92\88~wmjd]\92\88\81wmjd]\92\8b\81wqjg`\98\8b\85{qjg`\95\8e\85{tmgd\98\8e\85{tmj`\9c\8e\85~wmjd\98\8e\85{tmjd\9c\92\88\81wqjd\9c\92\88\81wqjg\9c\92\88~wqjj\9f\92\88\81{qmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wqj\9f\95\8e\85{wmj\9f\95\8b\85{tqj\9f\95\8e\85~tqj¢\95\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¥\9c\92\88\81wqm¢\9c\8e\88\81wqj¢\9c\8e\85\81wqj¥\9c\92\85~wqj¥\9c\8e\88\81{tj¢\9c\92\85\81wtj¥\9c\92\88\81wtm¢\9f\92\85\81wtj¢\9c\92\88\81wtm¢\9c\92\88\81wtj¥\9c\92\88\81wqm¥\9f\92\88\81{tm¢\9c\92\88\81{tmä×Êù¯¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôëáÚÿûîá×ÐÆÀñäÚÐƼ¶¯äÚÍù³¬¢ÝÓƼ³¬¥\9fÚÍƹ³¬¢\9fÚÐù¯©\9f\9cÚÍù¯©¢\9c×ÍÀ¹¬©\9f\98Óʼ¶¬¥\9f\95Ðƹ³©¢\9c\92Íƹ¯¥\9f\98\92Êö¬¢\9f\92\8eƼ³¬\9f\98\8e\8bƹ¯¥\9f\98\8e\88ù¬¢\9c\92\8b\85À¶©¢\9c\95\88\85¼¶©\9f\95\8e\88\85¼³¥\9f\95\8e\85\81¹³¥\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\8e\88\85~¹¯¢\9c\8e\88\81{¹¬¢\98\8e\88\81{³¬\9f\98\8b\88~w³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯©\9f\92\88\85~w¯¥\9f\92\88\85{t¬¥\9c\92\88\81{t¯¢\9c\92\85\81wt¯¥\9c\8e\85\81wt¬¥\98\8e\85\81wt¬¢\9c\8e\85~wt©¢\95\92\85~wq¬¢\95\8e\85~wq©¢\95\8e\85~wq©¢\98\8b\85~wq¬\9f\95\8b\85~tq¬¢\95\8e\85{wq©\9f\95\8b\85{wm©\9f\92\8b\85~tm©\9f\92\8b\85~tq©\9f\92\8e\85{tm©\9f\95\8b\85{tm©\9f\95\8b\85{tq©\9f\95\88\85{tq©\9f\92\8b\81{tm©\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\95\8b\81{tm¥\9f\92\88\81{tq©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm¥\9f\92\88\81{tj©\9f\95\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81wtm\8b\81wmj`]VPF?96/,("\e\15\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/,"\1f\e\18SMC<6//,`ZPMC<96jdZPMF?9md]VPIC?qj]VPMFCqj`ZPMF?tj`ZSPFCtmd]VPIFwqj`ZPPI{tjdZSPI~wmg]ZSP\85{qj`]VP\85{tjg]ZS\88~tjg`]S\8b\81wmj`]V\8b\85wmjd]Z\8e\85~qjg`Z\8e\85~tmg`]\92\88~tmjd]\92\88\81wmjd]\92\88\81{qjg`\92\8b\85wqmg`\95\8b\85{qjj`\98\8e\85{tmj`\9c\8e\85{tmjd\9c\92\85~wqjd\9c\92\88\81wqmg\9c\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\81{tmg\9f\92\88\85wtmj\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\98\8e\85{tmg\9f\95\8b\85{tmj\9f\95\8e\85{wmj\9f\98\8b\85~tmj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\9c\8e\85~tmj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85\81wqj¥\9c\92\85~wqj¥\9c\8e\88\81wtj¢\9c\92\88\81wqj¢\9c\92\85~{tm¢\9c\92\88\81wtm¥\9c\92\85\81wqm¢\9f\92\88\81{tm¢\9c\92\85\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tmáÓÊÀ¹³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëáÚþøîá×ÐÆÀîä×Íü¶¯á×Ðù¯©¢ÚÓƼ³¬¢\9f×Íù¯©\9f\9c×Ðù¯©¢\9c×Ðù¯©\9f\9c×ÍÀ¹¬©\9f\98ÓÍÀ¹¬¥\9f\95ÓƼ¶¬¢\9c\95Ðƹ¯¥\9f\95\92Êö¬¢\9f\95\8eƼ³¬\9f\9c\92\8bƼ¯©\9f\98\8e\88ù¬¢\9c\95\8e\85À¶¬¢\9c\92\88\85¼¶¬\9f\98\8e\85\85¹³¥\9f\92\8e\85\81¹³©\9f\92\8b\85~¹³¥\9f\92\8b\85~¹¯¢\9c\8e\8b\85~¶¬\9f\9c\8e\88\81{¶¬\9f\98\8b\85~{³¬\9f\98\8b\85~{³©\9f\95\8b\85~w¯©\9f\92\88\85~w¯©\9f\92\88\85{w¯¥\9f\92\88\85{w¯¥\9c\92\88\81{t¬¢\9c\92\88\81{t¬¥\9c\8e\88\81wt¬¥\98\8e\85~wt¬¢\95\8e\85\81wt¬¢\98\8e\85\81wt¬¢\98\8e\85~wq¬¢\95\8e\85\81tq¬¢\95\8e\85~wq©¢\95\8b\85~wq©\9f\95\8e\85~wq©\9f\92\8b\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85{tm©\9f\95\8b\81{tm©\9f\95\88\81{tq¥\9f\95\8b\85{tm©\9f\92\8b\81{tq©\9f\92\88\81{tm¥\9f\95\8b\81{tm©\9f\92\88\81~tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\95\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\95\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88\81wtj\88\81wmj`]VPF?96/,("\e\15\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/("\1f\1f\18SMC962/,dZPIC<96jdZSIF?<mg]VPIC?qj`ZPMF?qj`ZPMFCtj`ZSPMCtmg]SPIFwqj`ZSMI{tj`]SPM~wmg]ZSP\85{mj`]VP\85~tjd]ZS\88~tmg`ZS\88\81wmjd]V\8b\85{qjd]Z\8b\85{qjg`Z\8e\85~tmj`Z\92\88~tqjd]\92\88\81tqjg]\92\88\81wqjg`\95\8b\81wqjg`\95\8b\85{tjj`\98\8e\85{tmj`\98\8e\88{tmj`\98\8e\85~tqjd\9c\8e\88{wmjd\9c\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\81{qmg\9f\95\88\81{tmg\9f\92\8b\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\98\8b\85{tmj\9f\98\8b\85~tmj\9f\95\8e\85{tqj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85\81wqj¢\9c\8e\88~wqm¢\9c\8e\88~wtj¥\9c\8e\88\81wtm¥\9c\92\85\81{tm¥\9c\92\85~wqj¥\9c\8e\85\81wqj¢\9c\8e\88~{qm¥\9c\92\88\81wqm¢\9c\92\88\81{tm¢\9c\8e\88\81wtm¢\9c\8e\88\81{tmáÓÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëá×ÿûîá×ÐƼîäÚÐƼ¶¯äÚÍù³¬¥ÝÓƼ³¬¢\9fÚÐù¯©\9f\9c×Ðù¯©¢\9c×Ðù¯©\9f\98×ÍÀ¹¬¥\9f\98ÓÊÀ¶¬¥\9f\95ÓƼ¶©¢\9c\92Íƹ¯¥\9f\98\92Êö¯¢\9f\95\8eÆÀ³©\9f\9c\92\88ƹ¯¥\9f\95\8e\88ù¬¥\9c\95\8b\85À¹¬¢\98\92\88\85¼³©\9f\95\8e\88\81¹³©\9f\95\8e\85\81¹¯¥\9f\92\8e\85~¹¯¥\9c\92\8b\85~¹¯¢\9c\8e\88\85~¶¬\9f\98\8e\88\81{¶¬\9f\98\8e\85~{¶©\9f\95\8b\85~{³©\9f\92\8b\85{w³©\9f\95\8b\85~w\92\8b\81wqjd]ZPI?962,("\1f\18\11\ e\a\ 4\a\ 4\0\0\0\0\0\0\15\11\a\ 4\ 1\0\0\0,(\1f\18\15\ e\ e\ 4C<6/,%"\1fVPF?962/d]SMF?<6jd]SPFC<md]SPMF?mj`VPMF?qj`ZPPFCtj`ZPPICwmd]SPMCwqj]ZPPF~tj`ZSPM\81wmg`VSP\85{qj`]VP\85{tjd]VS\85~tmg`ZS\88\81wmjd]V\8b\85wmj`]Z\8e\85{tjg]Z\8e\85{tmg`]\92\85~tmjdZ\92\88\81wmjd]\92\88\81wqjg]\92\8b\81wqjg]\95\8b\85{qjj`\98\8e\85{tmj`\98\8e\85{tmjd×Íù¯©\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþñîÿÿþôîáÚÓûñäÚÐÆÀ¹äÝÓʼ¹¯©ÚÓƼ³¬¥\9fÓÍÀ¹¯¥\9f\98Óʼ¶¬¥\9f\95ÓƼ¶¬¢\9f\95Óƹ¶¬¢\9c\95Ðƹ³©¢\9c\92Ðƹ³©\9f\9c\92Íù¯¥\9f\95\92ÆÀ³¬¢\9f\95\8bÆÀ³©\9f\9c\92\88ù¯¥\9f\95\8e\88À¹¬¢\9c\92\8b\85À¶¬¢\98\92\88\85¼¶©\9f\95\8e\88\85¹³¥\9f\95\8e\85\81¹³¥\9f\92\8e\85\81¹¯¥\9c\92\88\85~¹¯¢\9c\8e\88\81{¶¬\9f\95\8e\88\81{¶¬\9f\95\8e\88\81{³¬\9f\95\8b\85~{¯©\9f\95\88\85~w¯©\9c\92\88\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\81{t¯¥\98\92\88\81{t¯¥\9c\92\85\81{t¬¢\98\8e\85\81wt¬¢\98\92\85\81wq¬¢\98\8e\85~wq©¢\98\8e\85\81wq©¢\95\8e\85~wq¬¢\95\8b\85~wq©\9f\95\8b\85~wq¬\9f\92\8b\85~tq©\9f\95\8b\81{wq©\9f\95\8b\85{tm©\9f\92\8b\85{tm©\9f\92\8b\85{tm©\9f\95\8b\81{tm©\9f\95\8b\81~tq©\9f\92\88\81{tq¥\9f\92\8b\81{tq¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81wqm¥\9f\92\88\81wtm¥\9f\92\88\81{qm¥\9f\92\88\81wqm¥\9c\92\88~wtj¥\9f\92\88\81{qm¥\9f\92\88\81{qj¥\9f\92\88~{tm\88\81wmg`ZSPI?62/,("\e\15\ e\v\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 1\096/("\1f\e\15SMF962/(`ZSIC<96j`ZSIC?<mg]SPIC<mj`VPMF?mj`ZSMF?tjdZSMFCtmg]VPMF{mj]VPPF{qj`]SPI~tmd]VSP\85{qj`]VP\85~tjd]ZS\85~wjg]ZV\88\81wmj`]V\8b\81wqjd]Z\8b\85{qjg]Z\8e\85~tmg`]\8e\88~wmj`]\92\88~tmjd]\92\88\81wqjg`\95\8b\85wqjg`\98\8b\85{tmg`\98\8e\85{tmjd\95\8e\85{tmjdÓÊù¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôîáÚÐûñçÚÐÆùçÝÓÆÀ¶¯©ÝÓÆÀ³¬¢\9f×ÍÀ¹¬¥\9f\98ÓÊÀ¶¬¥\9f\95Óʼ³¬¥\9f\95Óʼ³¬¥\9f\92Óʼ³©¢\9f\92Ðƹ³©\9f\9c\92Ðƹ¯¥\9f\98\8eÊÀ¹¬¢\9c\92\8bƼ³©¢\98\92\88ƹ¯¥\9f\95\8e\85ù¯¢\98\92\8b\85ö¬\9f\9c\8e\88\85¼³©\9f\95\8e\88\81¼³¥\9f\92\8b\85~¹¯¥\9c\95\8b\85~¹¬¥\9c\92\88\85~¹¬¢\9c\8e\88\81{¶¬¢\98\8e\88\81{¶¬\9f\95\8e\85\81{³©\9f\95\8b\85~w³©\9f\92\8b\85~t³¥\9f\92\88\85{t³¥\9c\92\8b\85~t\92\88\81wmjd]VPI?96//(%\e\15\11\v\a\ 4\a\ 1\0\0\0\0\0\0\18\ e\v\ 4\ 1\0\0\0,%\1f\18\15\ e\v\vC96/,%"\1fVPF?92//dZPMC<96j`VSMF?9jg]SPIC<mg]SPIF?qj]ZPMFCqj`ZSPICtmd]SPICwmg]ZPMF{qj`]VPI~tjd]VSM\85wmj`ZVP\85{qjd]VS\88~tmg`ZS\88\81wmg`]V\8b\81tqjd]V\8b\85wqjg`Z\8e\85{tmg`]\8e\88~wmj`]\92\88~wmjd]\92\88~wqjg]\92\8b\81wqjg`\95\8b\85{qjg`\95\8b\85{qjj`\98\8e\85{tmjd\9c\8e\85{tmjd\9c\92\85~wmjd\9c\92\85~wqjg\9c\8e\85\81wqjg\9c\92\88~wqjg\9f\92\85\81{qmg\9f\92\88~{tmg\9f\92\88\85{tmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\95\8b\85{tqj\9f\98\88\85{tqj\9f\95\8b\85{wqj\9f\95\8b\85{wqj\9f\98\8b\85~tqj\9f\98\8b\85~wqj¢\98\8b\85~wqj\9f\98\8b\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\9c\8e\85~wqm¢\9c\8e\85~wqm¢\9c\8e\85\81wqm¢\9c\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wqm¥\98\8e\85~wtj¢\9c\8e\85\81wqj¢\98\8e\88~wtmáÓÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçá×ÿøîáÚÍƼîä×ÍƼ¶¬ä×Íù³©¢ÝÐƹ³¬¢\9fÚÍù¯©¢\98ÚÍù¯©\9f\98×ÍÀ¹¯¥\9f\98ÓÊÀ¹¯¥\9f\92ÓÆÀ¶¬¢\9f\92ÐƼ³©¢\98\92Íù¬¥\9f\98\92ÊÀ¶¬¢\9c\98\8bƼ³©\9f\98\92\88ù¯¥\9f\95\8e\85À¹¬¢\98\92\8b\85À¶¬\9f\98\92\88\85¼³©\9f\95\8e\88\81¹¯¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¹¬¥\9c\92\88\85{¶¬¢\98\8e\88\81{¶¬¢\98\8e\88\81{¶¬¢\95\8b\85\81{¶©\9f\95\8b\85~w³©\9f\92\88\85~w³¥\9f\92\88\85{t³¥\9f\92\88\81~t¯¥\9f\92\88\81{t¯¥\9c\8e\88\81{t¯¢\9c\8e\88\81{t¬¢\98\8e\85\81wq¬¢\9c\8e\85~wq¬¢\98\8e\85~wq¬¢\98\8e\85~tq¬\9f\98\8b\85~wm©\9f\98\8b\85~wq¬\9f\95\8b\85~tm©\9f\95\8b\85{wm©\9f\95\8b\85{tm©\9f\95\8b\85{wm©\9f\95\8b\81{tm©\9f\92\88\81{tm©\9f\95\88\81{tm©\9f\92\88\81{tm©\9f\95\88\85{tm©\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm©\9f\92\88\81wqm©\9f\92\88\81wtm©\9f\95\88\81{tm¥\9f\92\88\81{qj¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wqm¥\9c\92\88~{tm¥\9c\95\88\81wtm¥\9f\92\88~{tm\88\81wmj`ZSPI?96/,("\1f\18\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/("\1f\e\18SMC<62/(`ZPIC<92j`ZPMF?<mg]SPIC<qg`VPIF?qj`VPMF?qjdZSPFCtjg]SPIFwmj]VPPF{qj`]SPI~tmd`VSM\81wmg`ZSP\85{qj`]VS\85~tjd]ZS\88\81wmg`]V\88\81wmj`]V\8b\85{qjd]Z\8e\85~tjg`Z\8e\85~tmj`]\92\88\81wmjd]\92\88\81wqjg]\95\88\81wqjg]\92\8b\81{qmg`\98\8b\85{tmg`\98\8b\85~tmjd\98\8e\85{tqjd\9c\8e\85~tqjg\9c\92\88~wtjg\9c\8e\85~wqjg\9c\92\88\81wqjg\9f\92\88\81wtmg\9f\92\88\85wtmg\9f\92\88\81{tmj\9f\95\88\81wtmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\98\8b\85~tmj\9f\95\8b\85~tmj\9f\98\8e\85{tmj\9f\98\8e\85~wqj¢\98\8b\85{tqj¢\98\8e\85~wmj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\88~wtjÝ×ÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûîÿÿÿûñçá×þôëá×ÍƼîá×Íù¶¬ä×Êù¯¬¢ÝÐù³¬¢\9f×ÐÀ¹¯©¢\98×ÍÀ¹¯©¢\9c×ÊÀ¹¬¥\9f\98Óʼ¶¬¥\9f\95ÓƼ³¬¢\9f\95Ðù¯©\9f\9c\92Íù¬¢\9f\98\8eÊÀ³¬¢\9c\95\8bƹ³©\9f\98\92\88ù¬¥\9f\92\8e\88ù¬¢\9c\92\8b\85À¶©\9f\98\8e\8b\81¼³¥\9f\95\8e\88\81¹³¥\9f\92\8e\85\81¹¯¥\9c\92\8b\88~¹¬¢\9c\92\88\85~¹¬¢\95\8e\88\85{¶¬\9f\98\8e\88\81{¶©\9f\95\8e\85\81{¶¬\9f\92\8b\85~w³©\9f\92\88\85~w³¥\9c\92\88\85~t\92\88\81wmjd]VPF?96//("\e\15\ e\v\a\ 4\a\ 1\0\0\0\0\0\0\15\v\ 4\ 1\0\0\0\0,"\e\15\ e\v\a\ 4C92/,"\1f\1fVMC?62/,`ZPIC?96j`ZPIC?9jd]SPIC<mg]VPIF?qj`VPPFCqj`ZSPICtmd]SPMFwmg]ZSPF{qj`]SPM~tjg`ZSM\81wqj`]VP\85{qjd]ZS\85~tjg`ZV\88\81wmj`]Z\8b\81wmjd]Z\8b\85{qjd]Z\8e\85{tjg`Z\8e\85~tmg`]\92\85~wqjd]\92\88\81wqjd]\95\8b\85wqjg`\95\8b\85{qjj`\98\8b\85{tmj`\98\8e\85{tmjd×ÍÀ¹¯¥\9f\95ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûñîÿÿþøëä×ÓûñäÚÐÆÀ¹çÝÓƼ¶¯©ÚÐƼ³¬¢\9fÓÍÀ¶¬¥\9f\98ÓƼ¶¬¢\9f\95ÓƼ¶©¢\9c\92ÓƼ³©¢\9c\95ÓƼ³©¢\9c\95Ðƹ¯¥¢\98\92Íù¯¢\9f\95\8eÊÀ¶¬¢\9c\92\8eƼ³©\9f\95\8e\88ù¯¥\9f\92\8e\88À¹¬¢\98\92\88\85¼¶©¢\95\8e\88\85¹³©\9f\95\8e\85\81¹³¥\9f\92\8e\85\81¹¯¢\9c\92\88\85~¶¬¢\9c\8e\88\81~¶¬¢\95\8e\88\81{¶¬\9f\98\8b\85~{³¬\9f\95\8b\85~w³©\9f\95\8b\85~w¯©\9f\95\88\85{w¯¥\9c\92\88\85{w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¬¥\9c\92\85\81wt¬¢\9c\8e\85\81wt¬¢\9c\8b\85\81wt¬¢\98\8e\85\81tt¬¢\98\8e\85\81wq©\9f\95\8e\85~tq©¢\95\8e\85~tq©\9f\95\8b\85~wq©\9f\92\8b\81~tm©\9f\95\88\81{tm©\9f\92\8b\85{tm©\9f\95\88\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88~{qm¥\9f\92\88\81{qq¥\9f\95\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81wqm¥\9f\92\88~{tj¥\9f\92\88~wtm¥\9f\95\88\81{qm¥\9f\92\88~{qm¥\9f\92\88~{qm¥\9c\92\88~{qm¢\9f\92\88\81wtm¥\9f\92\88~wqj¥\9f\92\88~wqm¢\9c\92\88~{qm¢\9c\92\88~wqm\88~wmg`ZVPF?96/,("\1f\18\11\ e\a\ 4\0\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\18\11\v\v\ 4\ 1<6/,%"\e\18SMC962/,`ZPIC?96jdZPIF?9md]SPFC?mg]VPIC?qj]ZPMFCqj`ZSPFCtjd]VPICwmg]VPMFwqj`ZSPM~tjd]VSM\81wmj]ZSP\85{qjd]VP\85~tjg]ZS\88~tmg`]S\88\81wmjd]V\8b\85{qjg]Z\8e\85{qjg`]\8e\85{tjg`]\8e\88~tmj`]\92\85~wmjd]\92\88\81wqjg]\95\88\81wqjg`\95\8b\85{qjj`\98\8b\85{tmj`ÓÍÀ¶¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñëÿÿûôëá×ÐûîáÚÍÊÀ¹çÝÐƼ¶¬©ÚÓü³©¢\9fÓÊÀ¶¬¥\9f\95ÓƼ¶©¢\9c\95Ðƹ³©¢\9c\92Óƹ³©¢\9c\95Ðƹ³©\9f\98\92Íƹ¯¥\9f\98\92ÊÀ¶¯¢\9f\95\92ÆÀ³¬\9f\98\92\8bƼ¯©\9f\98\8e\88ù¬¥\9f\95\8e\88À¹¬¢\98\95\88\85¼¶©¢\98\92\88\85¹¶©\9f\92\8e\85\81¹¯¢\9f\92\8b\85\81¹¯¢\9f\92\8b\85~¶¯¢\98\92\88\85~¶¯\9f\9c\8e\88\81{¶¬\9f\98\8b\88\81{³¬\9f\95\8b\85\81w³©\9f\95\88\85~w¯©\9f\92\8b\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\85{t\92\88~wmjd]SMF<962/(\1f\18\15\ e\a\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\11\v\a\ 4?9/,("\1f\eSMC<62/,`ZPFC<96j`VPIC?9jdZSPFC<mg]VPIF?mg]VPMF?tj`ZSPFCtmdZVPIFwmg]ZSPF{qj`]SPI~tjg]ZSP\81wmj`]VP\85{qjd]ZS\85~qjd]]S\88~tmg`]V\8b\81wmjd]Z\8b\85{qjd`Z\8e\85{qjj`Z\8e\85{tmj`]\92\88~wmjd]\92\88\81wqjd]\92\88\81wmjg`\92\8b\81wtmg`\95\8b\81wtjj`\98\8b\85{tmj`\98\8e\85{tmjd\98\8e\85~wmj`\98\8e\85~wmjd\9c\92\85\81wqjg\9c\8e\85\81wqjg\9c\92\88\81wqjg\9f\92\88~wqmg\9f\92\85\81wqmg\9f\92\88\85{qmg\9f\92\88\81{tmj\9f\92\88\85{tmg\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj\9f\95\8e\85~tmj\9f\95\8b\85{wqj\9f\95\8e\85~tqj\9f\95\8e\85~tqj¢\95\8e\85~wqj¢\98\8e\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wqj¢\98\8b\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\95\8e\85\81{qm¢\98\8e\85~wqj¢\9c\8e\85\81{qmáÓƼ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþñëá×þøîá×ÐƼîä×Íü³¯á×Êù³©¢ÚÐƹ³¬¢\9f×Íù¯©\9f\9c×Íù¯©\9f\9cÓÍù¬¥\9f\9c×ÊÀ¶¬¥\9f\98Óʼ³©¢\9c\95Ðƹ¯¥¢\98\92Íù¯¥\9f\92\92ʼ¶¬\9f\9c\92\8bƼ³©\9f\98\8e\88ù¯¥\9f\92\8b\85À¹¬¢\9c\92\88\85¼¶©\9f\98\92\85\85¼³©\9f\95\8e\85\81¹³©\9f\92\8b\85~¹¯¢\9c\92\8b\85~¹¯¢\9c\8e\8b\81{¶¬\9f\98\8e\88\81{³¬\9f\98\8e\88\81{³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯©\9f\95\88\85{w¯¥\9c\92\88\85{t\92\88\81wmjd]VPMC96//("\1f\18\11\ e\v\ 4\v\ 4\ 1\0\0\0\0\0\15\ e\v\ 4\ 1\0\0\0,%\1f\e\11\11\v\a?96/,%\1f\1fSPF?96/,`ZSMC?96j`ZPIF?<mg]SPFC<md]VPIC?mj]VPIC?qj`ZSPFCtjdZSPIFwmg]VSPF{qj`ZSPI~tjd]VSI\85{mj`ZSP\85{qjd]ZP\85~tjd]ZS\88~wmg`ZS\88\85wmj`]V\8b\85{qjd]V\8b\85{qjg`Z\8e\85~tmg`]\92\88~tmjd]\92\88~wmjd`\92\88\81wqjd]\92\8b\81wqjg`\95\8b\81{tjgd\95\8b\85{tmj`Óʼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûôëá×Óûîá×ÍƼ¹çÝÐƹ¹¬©ÚÓü³¬¢\9fÓʼ¶¬¥\9f\95Óƹ¶©¢\9c\95Ðƹ³©¢\9c\92Ðƹ³©¢\98\92Ðƹ³©\9f\98\92Íù¯¥\9f\98\92Êù¬¢\9f\95\8eƼ³¬\9f\9c\92\8eƼ¯©\9f\98\8e\88ù¬¥\9f\95\8b\85¼¹¬¢\98\92\8b\85¼¶©\9f\95\8e\88\85¼³¥\9f\95\8e\85\81¹³¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¶¬\9f\9c\8e\88\85~¶¬\9f\98\8e\88\81{³¬\9f\98\8b\85\81w³©\9f\95\8e\85~{³©\9c\92\88\85~t¯©\9c\92\88\85{w¯¥\9c\92\88\85{t¯¥\98\92\85\81{t¬¥\9c\92\85\85{t¬¢\95\8e\85\81wt¬¢\95\8e\85\81{t¬¢\95\8e\85~wq©¢\95\8e\85~wq©¢\92\8b\85~wq©¢\95\8b\85~wq©\9f\92\8b\85~tq©\9f\95\8b\85~tq©\9f\92\8b\85~tq©\9f\92\88\81{tq¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{qm¥\9f\92\88\81{tm¢\9f\92\88~{tm¥\9f\8e\88~wqm¢\9c\8e\88~{qm¢\9f\8e\88\81{tj¢\9f\8e\85~wqm¢\9f\8e\88\81{qm¥\9f\8e\88\81{qm¢\9c\8e\88~wqm¢\9f\8e\88~{qm¥\9c\8e\88~wqm¢\9f\8e\85~{qj¥\9f\8e\85~{qj¢\9c\8e\88~wqm\85\81tjg`ZSPF<62/,(\1f\e\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\11\ e\ 4\ 4\ 1\096,("\1f\e\15PIC96/,(`VPIC<96j`VPFC?9jd]SMIC?mg]VPIF<mj`VPMF?qj`VPIFCtj`]SPMCwmg]VPPF{qj`ZSPI~tjg]VSP\81wmg`ZSP\85{qjd]VP\85~tjd`ZP\88~tmg`]V\88\81wmjd]V\8b\85wqjg]Z\8b\85{qjg`Z\8e\85{tmg`]\8e\85~tmjd]\92\88~wmjg]\92\88~wqjg]\95\8b\81wqjg]\95\8b\85{qmj`\98\8b\85{tmj`\98\8e\85{tmjd\98\8e\85~wmjd\98\8e\85\81wmjd\9c\8e\85~wqjg\9c\92\88~wqjd\9f\92\88~wqjg\9f\92\88\81wqmg\9f\92\88\81wtmg\9f\92\88\81wtmj\9f\92\88\81{tmj\9f\92\8b\81{tmj\9f\95\8b\85wtmg\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{wmj¢\95\8e\85~tqj\9f\95\8b\85~tmj\9f\95\8e\85{wqj¢\95\8e\85{wmj\9f\98\8b\85{wqj¢\95\8b\85~wqj¢\98\8e\85~wqj¢\95\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqjÝÓƼ¶¬¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîçÝÓþñçÝÓÍƼëáÓÊù³¬áÓÊÀ¹¯©¢ÚÐù¯¬¢\9f×ÍÀ¹¯©\9f\98ÓÍÀ¹¯¥\9f\98ÓÊÀ¹¬¥\9f\98ÓÊÀ¶¬¥\9f\98ÓƼ³©¥\9f\92Ðƹ¯¥\9f\98\92Êù¬¢\9f\95\8eƼ³¬\9f\9c\92\8bƼ¯¥\9f\98\8e\88ù¬¥\9c\95\8b\85À¹¬¢\98\92\88\85¼¶©\9f\95\92\88\85¹³©\9f\92\8e\85\81¹¯¥\9f\92\8b\85\81¹¯¥\9c\92\8b\85~¶¬¢\98\8e\88\81{³¬\9f\98\8e\88~{³©\9f\95\8b\85~{³©\9f\95\8b\85~w³©\9f\92\88\85{w¯©\9f\92\88\85{t¯¥\98\92\88\85{t¬¥\9c\92\85\81{t¬¥\9c\8e\85\81wt¬¢\98\8e\88\81wt¬¢\98\8e\85\81wt¬¢\95\8e\85~wq¬¢\95\8b\85~tq¬\9f\95\8e\85~tq©¢\95\8b\81~tq¥\9f\95\88\85~wq©\9f\92\8b\81{wq©\9f\92\88\81~wm¥\9f\92\88\81{tm¥\9f\92\88\81~tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{qm¥\9c\92\88~{tj¥\9f\92\88\81{qm¥\9f\92\88\81{qm¢\9f\92\88\81{qj¢\9f\92\88~wqm¥\9c\92\88~{qj¢\9f\8e\88\81{qm¥\9c\8e\85~{qj¢\9c\8e\88~{tm¢\9f\8e\88~wqj¢\9c\8e\85{wqj¢\9c\8e\85~{qj¢\9c\8e\88~wqj¢\9c\8e\85~wqj\85~tmg`ZSPF<62/,%\1f\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\e\15\v\a\ 4\ 1\096,("\1f\e\15PIC96//(`VPFC<92j]VPIF?9jd]SPFC<mg]VPIC?mj]VPMF?qj`ZSMFCtj`ZVPIFtmg]VSPF{qj`]SPM~tjd]ZSP\81wmg]ZSP\85{qj`]ZP\85{qjd`ZS\88~tmj`]V\88\81wqjd]Z\8b\81wqjd`Z\8b\85{qmg`Z\8e\85{tjgdZ\8e\85{tmj`]\8e\88~wmjd]\92\88\81wqjd`\92\88\81wqjg`\92\8b\85wqjg`\95\8b\85{qmj`\98\8e\85~tmjd\95\8e\85{tmj`\95\8e\85~wqjd\98\8e\85~wmjd\9c\8e\85~wqjg\9c\92\88\81tqjg\9c\92\85~wqmg\9f\92\88\81wtmg\9f\92\85\81wqmj\9f\92\88\81{tmj\9f\92\88\81wtmg\9f\92\8b\81{tmj\9f\92\88\81{tmj\9f\95\88\85{tmj\9f\95\88\85{tmj\9f\95\88\85{tqj\9f\95\8b\85{wqj\9f\95\8b\85~wqj\9f\95\8b\85{tqj\9f\95\8b\85~wqj\9f\98\8b\85~wtj\9f\95\8b\85{wqj\9f\98\8b\85~wqj\9f\98\8b\85~tqj\9f\95\8b\85~wqj\9f\98\8b\85~wtj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqjÝÓʼ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîÿÿÿûñçá×þôëÝÓÍƼîá×Êù³¬áÓÊÀ¹¯©\9fÚÍù³©¢\9fÓÍÀ¹¯©\9f\95×ÊÀ¶¯¥\9f\98×ÊÀ¶¬¥\9f\95ÓÊÀ³¬¢\9f\92ÓƼ³©¢\9c\95Ðƹ¯¥\9f\9c\92Íù¬¢\9c\95\8eƼ³©\9f\98\92\8bƼ³¥\9f\98\8e\88ù¯¥\9f\95\8e\85À¶¬¢\98\92\88\85À³©\9f\95\92\88\81¹³©\9f\92\8e\88\81¹¯¥\9c\92\88\85~¹¬¥\9c\92\88\85{¹¬¢\98\8e\88\85{¶¬¢\98\8e\85\85{³¬\9f\95\8e\85\81w³©\9f\95\8b\85~w¯¥\9f\92\8b\85~w¯¥\9f\92\88\85~t¯¥\9c\8e\88\85{t\92\88~wmjd]VPF?962/,"\1f\18\11\ e\a\a\a\ 4\ 1\0\0\0\0\0\15\ e\v\ 4\0\0\0\0,%\1f\e\15\11\v\a?92/,%"\1fSPF?92/,`ZPFC<96j`ZPIC?9jdZSPIC<mg]SPIC?mg]VPIF?qj`ZPMF?tj`]SPMCtmg]VSMF{qj`ZSPI{tjd]VPM\81wmg]ZSP\85{qj`]VP\85~tjd]ZS\88~tmg`]S\88\81wmjd]V\88\85wmj`]Z\8e\85{qjg]Z\8e\85{tjg`Z\8e\85{tmj`]\92\88~wmjd]\92\88~wqjd]\92\88\81wmjd`\92\8b\85{qjg`\95\8b\85{tmg`Óʼ¶¬¥\9f\95ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûôçáÓÐøîá×ÍƼ¹äÚÍƹ¶¬¥ÚÐù¯¬¢\9cÓʼ¶©¢\9f\95Ðƹ³©¢\9c\92Ðƹ³©¢\9c\95Ðƹ³¥¢\9c\92Ðù¯¥\9f\98\92Êù¯¥\9f\95\92ÊÀ¶¬¢\9f\92\8eÆÀ³©\9f\9f\92\8bù¯¥\9f\95\8e\88ù¬¢\9c\92\8b\85¼¹¬¢\98\92\88\85¼³¥\9f\95\92\85\81¹¯¥\9f\95\8b\85~¹¯¢\9c\92\8b\85~¹¯¢\9c\8e\88\85{¶¬\9f\95\8e\88\81{³¬\9f\95\8e\88\81{³©\9f\95\8b\85~{³©\9f\95\88\85~w¯¥\9f\92\88\85{w¯¥\98\92\88\85{w¬¥\9c\92\85\85{t¬¢\98\8e\85\81wt\8e\88{tmj`]SMC?66/,%\1f\e\11\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4?92,%"\1f\eSMC<62/,`VPIC<96j`VPIC?9jdZSPFC<md]SPIC?mg]VPIF?qj`VPPFCtjdZSPICwmg]VPMIwqj`ZSPM{tjd]ZSP~wmg`ZVP\85{qjd]ZS\85{tjg`ZS\88~tmg`ZS\88~wqjd]Z\8b\85wmjd]Z\8b\85wqjd`Z\8b\85{tjg`Z\8e\85{tmj`]\8e\85~tmj`]\95\88~wqjd]\92\88\81wqjg]\95\8b\81wqjg`\95\8b\85{tmg`Óʼ¶¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûôçá×ÐûîáÚÍÆÀ¹çÚÐƹ¶¬¥ÚÐù¯¬¢\9cÓʼ¶¬¥\9f\98Ðƹ³©¢\9c\92Óƹ³©¢\9c\95Ðƹ³©¢\98\95Ðƹ³¥¢\9c\92Íù¯¥\9f\95\8eÊö¬¢\9f\92\8eÆÀ³©\9f\9c\92\8bƹ¯¥\9f\95\8e\88ù¬¢\9c\92\8e\85¼¶¬\9f\95\92\88\85¹³¥\9f\92\8e\88\81¹¯¢\9f\92\8e\85\81¹¯¢\9f\92\8b\85~¶¬¢\9c\8e\88\85~¶¬\9f\95\8e\88\81{³¬\9f\95\8e\88\81{¯©\9f\95\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{w¬¥\9c\92\88\85{t¬¥\98\92\85\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81{t¬\9f\95\8e\85\81{t¬¢\95\8e\85\81wq¬¢\95\8e\85~wq©\9f\92\8b\85~wq©\9f\92\8b\85~wq©\9f\92\8b\85~tq©\9f\92\8b\81{wm¥\9f\92\88\85~tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88\81wtm¥\9c\8e\88~wqm¥\9c\8e\88~wtj¢\9c\8e\88~{tm¥\9c\8e\85~{qm¥\9c\8e\85~wqj¥\9f\8e\88~wqm¢\9f\8e\88~wqj¢\9f\8e\85~wqj¢\9c\8e\88{{qj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqm¢\9f\8e\85~wqm¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9c\92\85{wqj\88~tjd`ZSPF<92/,("\1f\15\11\ e\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\15\ e\v\a\ 4\ 196/("\1f\e\18PI?<6/,,`ZPF?<92j`ZPIC<9jdZSPFC<md]VPIC<mg]VPIF<mj`VPMFCtj`ZSPICtjd]VPMFwmg]ZSPI{tj`]VPM~wmg]ZSP\81{mj`]SP\85{tj`]ZS\85~tmg`ZS\88~wmg`]S\88\81wmjd]Z\8b\81{qjg`Z\8e\85{qjg`Z\8e\85~tmg`]\92\85~tmj`]\92\88\81wmjd`\92\88\81wqjd]\92\8b\81wqjg`\92\8b\81{qjg`Óʼ³¬¥\9f\95ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñçÿÿûñäá×Ðøîá×ÍƼ¹äÚÍƼ¶¬¥×Ðù¯©\9f\9cÓƼ³¬¢\9f\95Ðƹ³©¢\9c\92Ðƹ¯©\9f\98\92Ðƹ³©¢\98\92Ðƹ¯©\9f\98\92Íù¯¢\9f\98\92ÊÀ¶¬¢\9f\92\8eƼ³©\9f\9c\92\8bù¯©\9f\95\8e\88ù¬¥\9c\92\8b\85¼¹©\9f\95\92\88\85¼³¥\9f\95\8e\85\85¹³¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¶¬¢\9c\92\8b\85{¶¬\9f\98\8e\88\81{³©\9f\95\8b\85\81w³©\9f\95\8b\85~w¯©\9f\95\8b\85~w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¯¢\98\92\88\85{t¬¢\98\8e\85\85wt\8e\85~tjg`]SPC<62/,%\1f\18\11\ e\a\a\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4?62,("\1f\eSMC<62/,]VPIC<66g`VPFC<9j`ZSPFC<jd]SPIC?mg]VPMCCqj`ZPMFCtj`]SPICtmg]ZSMF{qj`ZSPM~tjd]VSP~wmg]ZSP\81wqjd]ZP\85{tjg]ZS\85~tmg`]S\88~wmj`]S\88\81wqjg]Z\8b\85wqjd`Z\8b\85{tjg`Z\8e\85{tmj`]\8e\85{tmjd]\92\88\81wmjd]\95\88~wqjg`\95\88\81wqjg`\95\88\81{qmj`\95\8e\85{tjj`\98\8b\85{tmjd\98\8e\85{tmjd\98\8e\85~tmjd\9c\8e\85~tmjd\98\8e\85~wmjd\9c\92\85~wqjg\9c\92\88\81wqjg\9f\92\85\81wqjg\9c\92\88\81wqjg\9f\95\88\81{tmj\9c\95\88\81wtmj\9f\92\88\81wtmg\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\88\85{wqj\9f\92\8b\81~tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\88\85{wmj\9f\95\8b\85~tqj\9f\95\8b\85~wqj\9f\98\8b\85{tqj\9f\98\8b\85{wqj\9f\95\8b\85~wqj¢\95\8e\85~wqj\9f\95\8b\85{wqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqjÝÓƼ¶¬¥¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûîçÝ×þôëÝÓÍüîáÓÍù³¬áÓÆÀ¶¯©¢ÚÍù¯©\9f\98Óʼ¹¬¥\9f\95×ÍÀ¹¬¥\9f\95Óʼ¶¬¥\9f\98Óʼ¶©¢\9f\95Ðƹ³©¢\9c\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bù¬¥\9f\95\8e\88À¹¬¢\9c\92\8b\85¼¶©\9f\9c\92\88\85¼³¥\9f\92\92\88\81¹³¥\9f\92\8e\85~¹¯¢\9c\92\8b\85~¹¬¢\9c\8e\88\85~¶¬\9f\98\8e\88\81{³©\9f\95\8e\88\81{³©\9f\92\8b\88\81w³©\9f\95\88\85~w¯¥\9f\92\88\85{w¬¥\9c\92\88\85{t¯¥\98\92\88\81{t¬¥\98\8e\85\81{t¬¢\95\8e\85\81{t¬¢\95\8e\85\81wt©\9f\95\8b\85~wq©¢\95\8e\85\81wq©\9f\92\8b\85~wq©\9f\95\8b\81~tq¥\9f\92\8b\85{tq©\9f\92\88\85{tq©\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{qj¥\9f\8e\88\81{tm¥\9c\8e\88~wqj¥\9c\92\88~{qj¢\9c\92\88~wtj¢\9f\92\88~wtj¢\9c\92\88~wqj¢\9f\8e\85~wqj¢\9c\8e\85~{qj¥\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9f\8e\85{wqj¢\9c\8b\85~wmj¢\98\8e\85~wqm\85~tjg]ZSPF<62/(%\1f\e\11\ e\ e\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\a\a\ 4\0<6/("\1f\e\18PIC962,,`VPFC<66j`ZPIF<9jd]SPIC<mg]SPIF?mg`VPMF?mj]ZPPI?tj`ZSPICtmd]SPMFwmg]ZSPI{tjd]VPM~tmg]ZSP\81wqj`]VP\85{qjd]ZS\85~tjg`ZS\88\81wmj`]V\88\81wmjd]Z\8b\85{qjd]Z\8b\85{qjg`Z\8e\85{tjg`]\8e\85~tmjd]\8e\88~wmjd]\92\88\81wqjg]\92\88\81wqjg`\95\8b\85wqmg`\95\8b\81{qmj`\95\8b\85{tmg`\98\8e\85{tmjd\98\8e\85{tqjd\9c\8e\85~wmjd\98\8e\85~wqjg\98\8e\85~wqjg\9c\92\85~wqjg\9c\92\88~wqjg\9c\92\88\81{tjg\9f\92\88\85wtmg\9f\92\88\81{tmg\9f\92\88\85{tmg\9f\92\88\85{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\8b\85~tqj\9f\92\8b\85{tqj\9f\92\8b\85{tqj\9f\95\8b\85{wmj\9f\92\8b\85{wmj\9f\95\8b\85{tqj\9f\95\8e\85{tmj\9f\95\8b\85{wqj\9f\98\8e\85~wqj¢\95\8b\85~tqj¢\95\8b\85~tqj\9f\98\8e\85{wqj\9f\95\8b\85{wmj\9f\95\8b\85~wqj\9f\95\8e\85~wqj\9f\98\8b\85~wqjÝÓƼ¹¯¥¯ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿøîçÝÓþôçÝÓÊüëáÓÊÀ¹³¬ÝÓÊÀ¶¯©¢×Íù¯©\9f\9c×ÊÀ¹¬¥\9f\9cÓÊÀ¶¬¥\9f\95Óʼ¶¬¥\9f\98ÓƼ¶¬¥\9c\92Ðƹ³©¢\9c\92Íù¯¥\9f\98\8eÊö¬¢\9c\92\8eƼ³¥\9f\98\92\88ù¯¥\9f\95\8e\88À¹¬¢\9c\92\88\85¼³©¢\95\92\88\85¹³¥\9f\95\8e\85\81¹¯¢\9f\92\8e\85\81¹¯¢\98\92\88\85~¶¬\9f\98\8e\88\81{³¬\9f\98\8b\88\81{³©\9f\98\8e\85~{³©\9f\95\8b\85~w¯¥\9c\95\8b\85~w¯©\9c\92\88\85{w¯¥\9c\92\88\85{w¬¥\98\92\85\81{t\92\85~wjj`]SPF?66/,(\1f\18\11\ e\v\a\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4?6/,("\1f\18SMC<62/,`ZPI?<66g`VPIF<9jdZSMF?<jdZSPFC?mg]SPIF?qg`ZPMFCqj`ZSPICtmd]VPMFwqg`ZSPI~tjd]SPM~wjg]ZSP\81wqg`]VP\85{qjd]VS\85~tjg]ZS\85\81tmj`]V\8b\81wmj`]Z\88\81{qjg]Z\8e\85{tjg`Z\8e\85{qmg`Z\92\85~tmjd]\92\88~wmjg]\92\88\81wqjg]\92\88\85wqjg`\95\88\81{qmjdÓƼ¶¬¥\9f¬ÿÿÿÿÿÿûôÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþøîçÿÿûîçáÓÐøîá×ÍƼ¹ä×Íƹ¶¬¥×Íù¯©¢\9cÓʼ¶©¥\9f\95Ðƹ³©¢\9c\92Ðƹ³©¢\98\92Ðƹ¯¥¢\9c\92Íƹ¯©¢\98\92Íù¯¥\9f\95\8eÊÀ¶©¢\9f\95\8eƼ³©\9f\98\92\88ù¯¥\9f\95\8e\88¼¹¬¢\9c\92\8b\85¼³©\9f\95\92\88\85¹³¥\9f\95\8e\85\81¹¯¢\9f\92\8b\85~¹¯¢\9c\92\8b\85~¶¬¢\98\8e\88\81{³¬\9f\95\8e\88\81{³¬\9f\95\88\85~w³©\9f\92\8b\85~w¯¥\9c\92\8b\85~w¯¥\9f\92\88\85~t¯¥\9c\92\88\81{t¬¢\9c\8e\88\81{t¬¢\98\8e\85\81wt\8e\85{tmj`ZSMC<66/,(\1f\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\a\ 1\0\0\0\0,"\e\15\11\ e\a\ 4?62,("\1f\eSMC<62/,]ZPIC<96j`VPIC?9jdZSMFC<mg]SPIC?mg]SPIF?qj`ZPIF?qj`ZSMICtjd]VPIFwmj`ZSPI{tjd]VPI~tmg]ZSP\81{mj`]ZP\85{qjd]VS\85~tjg]ZS\85~wmg`]V\88\81wmj`]V\8b\85wqjd]V\8e\85{tjg`Z\8e\85{tmg`]\92\85{tmj`]\92\88~tmj`]\92\88~tmjd]\92\88\81wqjg]\92\88\81{qjg`ÓƼ¶¬¢\9f¶ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿþûîëÿÿûñçáÓÐøîá×ÍƼ¹äÚÍù¶¬¥×Íù³©¢\9cÓƹ³©¢\9c\92Ðƹ³¥¢\9c\95Íù¯¥¢\98\92Íù¯©\9f\98\92Ðù¯¥\9f\98\92ÍÀ¶¬¥\9f\95\8eƼ¶©¢\9c\92\8bƼ³©\9f\98\92\8bù¯¥\9c\95\8e\88¼¹¬¢\98\92\88\85¼³©¢\95\92\88\85¹³¥\9f\95\8e\85\81¹¯¢\9f\92\8b\85~¹¬¢\9c\8e\8b\85~¶¬\9f\98\8e\88\81{³¬\9f\95\8e\88\81{³¬\9f\95\8b\88\81w¯©\9f\92\88\85{w¯©\9c\92\88\85{w¯¥\9c\92\88\85{w¯¥\9c\92\88\81{t¬¢\98\92\85\81{t¬¢\95\8e\85\81{t\8e\8b{tmg`]SMC<62/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\a\ 4\0\0\0\0\0(\1f\18\11\ e\a\ 4\ 4?6/,("\1f\18SIC962/,]VPF?<62g]SPFC<9j`ZPMF?9jd]SMIC<md]VPIC?mj]ZPPF?qj`ZSMICtjd]VPMFwmj`ZSPI{tj`]VPM~wmg`ZSP\81wqj`]VP\85{qjd]ZP\85{tjg`]S\88~tmj`]V\88\81wmjd]V\88\81{qjd]Z\8b\85{tjg`]\8e\85{tmg`]\8e\85~wmjd]\92\88~wqjg]\92\88~wqjg]\95\8b~wqmg`\92\8b\81wqmggÓƼ¶¬¥\9fÀÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûîëÿÿûñçá×ÐøîáÓÍƼ¹äÚÍù¶¬¥×Íù¯©¢\9cÐƼ³¬¢\9c\95Ðƹ³©¢\9c\92Ðƹ³©¢\98\92Ðƹ³¥¢\9c\92Íù¯©\9f\98\92Êù¬¥\9f\98\8eÊÀ¶¬¢\9c\95\8bƼ³©¢\9c\92\8bƹ¯¥\9f\98\8e\88À¹¬¢\98\92\8b\85À³©\9f\98\92\88\85¼¯¥\9f\92\8e\88\81¹¯¥\9f\92\8b\85~¹¬¢\9c\92\88\85~¶¬\9f\95\8e\88\81{¶¬\9f\98\8b\88\81{³©\9f\95\8e\85\81w³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85~t¯¥\9c\92\88\85{t¬¢\98\8e\88\81{t¬¢\95\8e\85\81wt©\9f\95\8e\85~wt©\9f\95\8e\85\81wq©\9f\92\8e\85~tq©\9f\92\8b\85~tq©\9f\92\8b\85~tm¥\9f\95\88\85{tm¥\9f\92\88\81~tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9c\92\88~{tm¥\9f\92\88~{qj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\88~wqj¢\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8b\85~wmj¢\98\8e\85~wqj¢\9c\8b\85~wqj¢\9c\8e\85{wqj¢\98\8e\85~wqj¢\9c\8e\85{wqj\9f\98\8e\85~wqj¢\98\8b\85{wqj¢\98\8b\85~wqj\9f\98\8b\85{wqj¢\98\8e\85{wqj¢\98\8b\85{wqj\9f\95\8b\85{wqj\85~qjg]ZSPF<62/,%\1f\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\11\ e\a\a\ 4\ 196/,"\1f\e\18PF?962/,]VPF?<66j]VPIF?9jd]SPFC<md]VPIC?mg]VPIF?mg]VPPF?qj`]SPICtjd]SPMFwmg]ZSPI{tj`ZVPM~tjg]ZSP\81wqg]]SP\85{qjd]ZS\85{qjg`ZS\85~tmg`]S\88\81wmgd]Z\8b\81wqjd]Z\8e\85{qjg`Z\8e\85{tjg`]\8e\85{tmjd]\8e\85~tmj`]\92\88\81tmjg]\92\88\81wqjg`\95\88\85wqmgqÓƼ³¬¢\9fÐÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîëÿÿûñçÝÓÐøîá×ÊƼ¹á×Ðù³¬¢×Íù¯©¢\9cÓƼ³¬¢\9f\95Ðƹ¯©\9f\98\92Íù¯¬\9f\9c\92Ðù¯©\9f\9c\92Íù¯©\9f\98\8eÍù¬¢\9f\95\8eʼ³¬¢\9f\92\8eƹ³©\9f\9c\92\88ù¯¥\9f\92\8e\85À¹¬¢\9c\92\88\85¼¶©\9f\95\8e\88\85¹³¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85~¹¬¢\9c\92\88\85{¶¬\9f\98\92\88\81{¶¬¢\98\8e\88\81{³©\9f\95\8b\85\81w³©\9f\92\8b\85~w¯¥\9f\92\88\85~t¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¬¢\98\8e\88\85{t¬¢\9c\8e\85\81wt\8e\85{tjg`ZSMC<62/,"\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\v\ 4\0\0\0\0\0%\1f\18\11\ e\a\ 4\ 4<6/,%"\1f\ePIC96//,]SPF?<62g]SMFC<9j`ZPIF?9jdZSMFC<jd]VPIC?mg]VPMFCqj]ZSPFCtjd]SPMFwmg`ZSPI{qjd]VPM~tjg]ZSP\81wmg`]SP\85{qjd]ZS\85{tjg`]S\88~tmg`]V\88\81wmjd]Z\88\81{qjd]Z\8b\85wqjg`Z\8e\85{tmg`]\8e\85{tmj`]\8e\88~tmjd]\92\88~wmjd]\92\88\81wmjg`\95\88\81wtjg`\92\8b\81wqjg`\95\8b\85{tmg`\98\8e\85{tmjd\95\8e\85{tmgd\9c\8e\85{wmjd\98\8e\85~wqjg\9c\8e\85~wqjg\9c\8e\88~wqjg\9c\8e\85~wqmg\9c\8e\88~wqjg\9c\92\88~wqjg\9f\92\88\81wtmg\9f\92\88\81wqmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\88\85{tmj\9f\92\88\85{tmj\9f\92\88\85{tmj\9f\95\88\85{tqj\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\92\8b\85{tqj\9f\92\88\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{wqj\9f\95\8b\85{wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85~tqm\9f\95\8b\85~tmj\9f\95\8b\85~wq\85ÝÐü³¬¥äÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîçÝÓþñçÝÓÍƹëáÓÊÀ¹³¬ÝÓƼ¹¯¥\9f×Íù¯©\9f\9cÓÊÀ¹¬¥\9f\95Óʼ¶¬¥\9f\95Óʼ³¬¢\9f\95ÓƼ¶¬¢\9c\92Ðƹ¯©\9f\9c\92Íù¯¥\9f\98\8eʼ³¬¢\9f\95\8bƹ¯©\9f\95\92\88ƹ¬¢\9f\92\8e\85À¶©\9f\98\92\8b\85¼³©\9f\98\8e\88\81¹³¥\9c\92\8e\85\81¹¯¥\9c\92\8e\85~¹¬¢\9c\92\88\85{¹¬¢\98\92\88\85{¶©\9f\98\8b\88\81{³©\9f\95\8b\85\81w³©\9f\95\8b\85~w³¥\9c\92\88\85{t¯¥\9c\92\88\85~t¯¢\98\8e\88\81{t¬¢\98\8e\85\81{t¬¢\95\8e\85\81{t¬¢\98\8e\85~wq©\9f\95\8b\85~wq©\9f\95\8e\85~wq©\9f\92\88\85~wm©\9f\92\88\85~wq©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\85\81wtj¥\9c\92\85\81wqm¥\9c\92\85~wtm¥\9c\8e\85\81wtj¢\9c\8e\85~wqj¢\9c\8e\85~wtj¢\9f\8e\85~wqj¢\98\8e\85~{qj¢\9c\8e\88~wqj¢\9c\8e\85~wmj¢\9c\92\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85{wmj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\95\8e\85{wqj¢\98\8e\85~tmj¢\95\8e\85~wqj¢\98\8e\85{wqj¢\98\8e\85{tmj\85~tjd]ZSMC<62/,%\1f\e\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\ e\a\ 4\ 1\ 192/%"\1f\18\18PF?96//,`VPF?966g]VPIC?9jdZSMIC<mg]SPMC?mg]VPIF?mj]ZPPFCqj`ZSPICtjd]SPMFwmj]VSPM{qjd]VPM~tmg]ZSP\81wmj`]VP\85wqjd]VS\85{tjd`ZS\88~tmg`ZV\88~wmg`]Z\88\81wmjd]Z\8b\81{qjg]]\8b\85{qjg`]\8e\85{tmg`]\92\85~tmj`]\92\85~tmjd`\92\88~wqjd`\92\88\81wqjg`\92\88\85{qjg`\95\8b\81{tmj`\95\8b\85{tmjd\95\8b\85~tmjd\98\8e\85{wmjd\98\8e\85~tmjd\98\8e\85{tmjg\98\8e\85~wqjj\9c\8e\85~wqjg\9c\92\85~wqjj\9c\92\88\81wqjj\9c\92\88\81{tjj\9c\92\88\81wtmj\9f\92\88\81wtmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\88\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\88\85~wmm\9f\92\8b\85~tmj\9f\92\8b\85{tmm\9f\95\8b\85{wqm\9f\95\8b\85{tqj\9f\95\8e\85~wmm\9f\95\88\85{wq\9fÚÐƼ³¬¥ûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿøîçÝÓþôçÝÓÊùëÝÓÊÀ¹³©ÝÓƼ¶¬¥\9f×ÊÀ¹¯©\9f\98Óʼ¶¬¥\9f\95ÓƼ³¬¥\9f\98ÓƼ³¬¥\9f\95Óƹ³©¢\9f\95Ðù¯©\9f\9c\92Íù¯¥\9f\95\8eÊÀ¶¬¢\9c\95\8eƹ³©\9f\98\8e\88ù¬¢\9f\95\8e\85À¶¬¢\9c\92\88\85¼³©\9f\95\8e\88\81¹³¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¹¬¢\98\92\88\85{¶¬¢\98\8e\88\85{³©\9f\98\8b\88\81{³©\9f\92\8b\85~{¯¥\9f\92\88\85~w¯¥\9c\92\88\85{t¯¢\98\92\88\85~t¬¢\98\92\88\81{t¬¢\9c\8e\85\81{t¬¢\95\8e\85~{t¬¢\95\8b\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85{wq©\9f\95\8b\85~wq©\9f\92\88\85{tm©\9f\92\8b\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9c\92\88~{qm¥\9c\8e\85\81{tm¥\9f\92\88~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wqj¢\98\8e\85\81wqj¢\9c\8e\85~wqj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8b\85~wqj¢\95\8b\85~wqj¢\98\8b\85~wmj¢\98\8b\85{wmj\9f\98\8b\85{wmj¢\98\8b\85{wqj\9f\98\8b\85{tqj\9f\98\8b\85{tqj¢\98\8b\85~wmj\85{qjd]ZSPC<62/,(\1f\e\11\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\1f\15\ e\v\a\ 4\v92,("\1f\e"PI?96///]VPIC<96g`VPFF?<j`ZSMFCCmdZSPICCmg]SPICCqg]VPMFCqj`VPMIFtj`]SPMIwmg]VSPM{qj`ZVPP~tjd]ZSS\81wmg`ZSS\85{qjd]VV\85{qjg]ZZ\85~tmg`]Z\85\81tmg`]]\88\81wqjd]]\8b\85wqjg`]\8b\85{qjg``\8e\85{tjj``\8e\85{tmjdd\8e\88~wmjdd\92\88~wqjdd\92\88\81wqjgg\92\88\81wqjgg\95\8b\85{tjjj\98\8b\85{tmjj\95\8e\85{tmjj\98\8e\85{tmjj\98\8e\85{tmjj\98\8e\85~tqjj\9c\8e\85~wqjj\9c\8e\85~wqjm\9c\92\88~wqjj\9c\92\88\81wtmm\9c\92\88\81{tmm\9c\92\85\81{tmm\9c\92\88\81wtmm\9f\92\88\81{tmm\9f\92\88\81{tmm\9f\92\88\81{tmm\9f\92\88\81{tmm\9f\92\88\85{tmm\9f\95\8b\81{tmm\9f\92\8b\81{tqm\9f\95\8b\85{tmq\9f\92\88\81{tmq\9f\92\8b\81{tmq\9f\95\88\85~tmq\9f\95\8b\85{tmq\9f\92\88\85{wmq\9f\95\8b\85{tmq\9f\95\88\85{wmq\9f\95\8b\85{wqq\9f\95\8b\85{wmq\9f\95\8b\85{wm¬ÚÓƹ¶¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿøîçÝ×ûñçÝÓÍùëáÓÊÀ¹³¬ÝÓƼ¶¬¥\9f×ÊÀ¹¯©\9f\9fÓʼ¶¬¥\9f\98ÓƼ¶¬¥\9f\98Óƹ³¬¢\9f\98Ðƹ³¬¢\9c\95Ðƹ¯¥¢\95\92Êö¯¢\9f\95\92Ƽ³©¢\9f\92\8eƹ¯¥\9f\95\92\8bù¯¢\9c\92\8e\88¼¶¬¢\98\92\8b\85¹³©\9f\95\8e\88\85¹¯¥\9f\92\8b\88\81¹¯¢\9c\92\8b\85~¶¬¢\9c\8e\88\85~¶©\9f\98\8e\88\81~³©\9f\95\8e\88~{¯¥\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9c\92\8b\85{w¯¢\9c\92\88\85{t¬¢\9c\8e\88\81{w¬¢\98\8e\85\81wt¬¢\98\8e\85\81wt©\9f\95\8e\85~wt©\9f\92\8b\85~wq©\9f\92\8b\85~wq©\9f\92\8b\85{tq¥\9f\92\88\85{tq¥\9f\92\88\81{tq¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9c\8e\88\81wqm¥\9c\92\88~{tm¥\98\8e\88\81wqj¢\9c\8e\88~wtm¢\9c\8e\85~{qm¢\9c\8e\88~wqm¢\9c\8e\88~wqm¢\98\8e\85~wqm¢\9c\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wmj¢\98\8e\85{wqj¢\9c\8e\85{wqj¢\98\8b\85{wqj¢\98\8e\85{wqj\9f\95\8b\85~wmj\9f\95\8e\85~wqj\9f\98\8b\85~wqj\9f\95\8b\85{wqm¢\98\8b\85{wqm\9f\95\8b\85{wmj\9f\98\8b\85{tqj\85{qjd`ZSMC<6//,(\1f\e\15\ e\a\ 4\ 4\ 1\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\a\ 4\ 1\1862,%"\1f\18,PF<92/,6]SPF?<6<g]SMF?<Cj`ZPMF?Fj`ZPPFCFjd]SPICFmg]VPMFIqj`ZPMFPtj`ZSPIPwmg]VPPS{qj`ZVPV~tjd]ZPZ\81wmj]]S]\81{qjd]V]\85{qjd]Z`\85~tmg]Z`\88~tmg`]d\88\81wqj`]g\8b\85wqjd]j\8b\85{tjg`j\8e\85{tjg`j\92\85{tmjdj\92\88~wmjgj\92\88~wqjgm\92\88\81wqjg¯Óƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿûøîçÿÿøîäÝÓÊôëÝ×Êù¹á×Êù³¬¢ÓÊÀ¹¯©\9f\9fÐƹ¯©¢\9c\9cÐù¯¥\9f\9c\98Êù¬¥\9f\98\98Íù¯¥\9f\9c\95Íù¬¥\9f\98\95ÊÀ¶¯¢\9f\95\92Ƽ³©\9f\9c\92\8eƹ¯¥\9f\98\92\8eù¬¥\9c\95\8e\8bÀ³¬¢\9c\92\8b\88¼³©\9f\95\8e\88\85¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\8e\85\81¹¬¢\98\8e\88\85~¶¬\9f\98\8e\88\81~³©\9f\95\8e\85~~³¥\9f\92\8b\85~{³¥\9f\92\8b\85{w¯¥\9c\92\88\85{w¬¢\9c\92\88\81{w¬¢\98\8e\88\85{w¬¢\95\8e\85\81{w¬¢\95\8e\85\81wt\8b\85{tjg`ZSMC<62/,"\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\a%\1f\18\15\ e\v\ 4"<6/,%"\1f2PIC962/<]VPFC<6Cg]SPFC<Fj`VSMF?IjdZSPFCMjd]SPICPmg]VPMFPqj]ZPMIStjd]SPMSwmg`ZSPZ{qj`ZVPZ~tjg]ZS]\81wmg`ZV`\85{qjd]Zd\85{qjd]Vg\85{tmg`Zj\88~tmj`]j\88\81wmjd]j\8b\81wqjg]m\8e\85{tmg`m\8e\85{tjg`m\8e\85{tmj`q\8e\85{wqjdq\92\88~wqjdt\92\88~wqjg¼ÐƼ³©¢\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþþÿÿÿÿþøîçÿÿûñçÝÓÐøîÝÓÊƼ¹á×Íù³¬©×ÍÀ¹¯©¢\9fÐƹ³©¢\9c\9fÍù³¥¢\98\98Íù¯¥\9f\98\98Íù¯¥\9f\95\98Íö¬¥\9f\95\95ÊÀ³¬¢\9f\95\95Ƽ³¬¢\98\92\92ƹ³¥\9f\95\92\8eù¬¢\9f\92\8e\8e¼¶¬\9f\95\92\88\88¹³¥\9f\95\8e\88\88¹¯¢\9f\95\8e\85\85¹¬¢\9c\92\8b\85\85¶¬\9f\98\92\8b\85\81³©\9f\98\8e\88\81\81³©\9f\95\8b\85\81~¯©\9f\92\8b\85~~¯¥\9f\92\8b\85~{¯¥\9f\92\88\85{{¯¢\98\92\88\85{{¬¢\98\8e\85\81{{¬¢\95\8e\85\81ww©\9f\95\8e\85\81wq\8b\85{qjg]ZSMC<62/,%\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\ e%\1f\18\11\ e\a\ 4,<6/,"\1f\1f9PI?66/,C]SMF?96Ig]SPF?<Mj]VPMF?Pj`ZPMFCPjdZSPICSmg]VPICVqj]VPMFZtjd]SPIZwmd]ZPP`{qj`]SPd~tjd]ZPg\81wmg`ZSj\81wqj`]Vj\85{qjd]Zj\85{tjg`Zm\85~tmg`]q\88\81wmjd]q\8b\81wqjg`t\8b\85{tmg`t\8e\85{tjj`t\8e\85~tmj`w\8e\85~tmjdw\92\88~wmjgw\92\88~wqjg{\92\88\81{qjg{\92\8b\81{qmg{\95\88\81{tmj~\95\8b\85{tmj\81\98\8e\85{tmj~\98\8e\85{wmj\81\98\8e\85~wqj\81\98\8e\85{wmj\85\98\8e\88~tqj\85\9c\8e\85~wqj\81\9c\8e\85~wqj\85\9c\8e\85~wqj\85\9c\92\85~wqj\85\9c\92\88\81wqj\85\9c\92\88\81wtm\85\9f\92\85\81wtj\88\9f\92\88\81wtm\88\9f\92\88\81{tm\85\9c\92\88\81wtm\85\9f\92\88\81{qm\85\9f\92\88\85{tm\88\9f\92\88\81wtq\85\9f\92\88\85{tm\88\9f\92\88\81{tm\88\9f\95\88\81{tm\88\9f\95\88\85{tm\88\9f\95\8b\81{tq\88\9f\92\88\85{tm\88\9f\95\8b\85{tm\88\9f\92\88\85{tq\88\9f\92\8b\85{tq\88\9f\92\8b\85{tmÐÚÍƹ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôñÿÿþôîäÚÓûîäÚÐÆüçÝÐƼ¹¯¯ÚÐƼ¶¬¥©Óʼ¹¬©\9f¥Óʹ¶¬¥\9f©ÓƼ³©¥\9f©Ðƹ³©¢\9c¢ÐƼ¯©¢\9c¢Ðù¯©\9f\98\9fÊÀ¶¬¥\9f\95\9fƼ³©¢\9c\95\9cƹ¯¥\9f\95\92\95ö¬¢\9c\92\8e\92¼¶¬\9f\98\92\88\8e¹¯©\9f\95\8e\88\8e¹¯¥\9f\92\8b\85\8b¹¯¢\9c\92\8b\85\88¶¬\9f\98\8e\88\85\88³¬\9f\95\8e\88\81\85³©\9f\92\8e\85\81\85¯¥\9f\92\8b\85~\85¯¥\9f\92\8b\85~\81¯¢\9c\8e\88\85{\81¯¢\98\8e\88\85{~¯¢\98\8e\88\81{~¬¢\98\8e\85~{~¬\9f\95\8e\85\81w~©\9f\92\8b\85~w~©\9f\95\8b\85~w{¥\9f\92\8b\81~t{¥\9f\92\88\81~w{¥\9f\92\88\81{t{¥\9f\92\88\81{t{¥\9f\8e\88\81{t{¢\9c\92\88\81{tw¥\9f\8e\88\81{tw¢\98\8e\85\81{qw¥\98\8e\85~{tw¢\98\8e\85~wqw¢\98\8e\85~wqw¢\98\8e\85~wtw¢\98\8e\85~wqt\9f\98\8e\85~wqw¢\9c\8b\85~wqw¢\98\8e\85~wmw\9f\98\8e\85~wqw¢\95\8b\85~wmt\9f\98\8e\85{wqt\9f\98\8b\85{wmw¢\95\8b\85~tqw\9f\95\8b\85~wqw¢\98\8b\85{wmt¢\98\8b\85{wmt\9f\95\8b\85{tmt\9f\95\8b\85{tmt\9f\95\8b\85{tmt\9f\98\8b\85{wmw\9f\95\8e\85{tmj\85{qjd]VPIC96/,("\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\15\1f\18\11\v\ 4\ 4\ 1292,%"\e\18FPC?62/,S]SPF?96Zg]SPIC<]j`ZPMFC]jdZSPFC]jd]SPIC]mg]VPMF`qj]ZPPIgqjd]SPMjtmg]ZPPj{qj`ZSPq{tjd]ZSt\81tmj`]Vt\85{qjd]Zw\85{qjd]Zw\85~tmg`]{\88~wmjd]~\88\81wmjd]\81\88\81wqjd]\81\8b\85wtjg]\85\8b\85{tjg`\85\8e\85~tmj`\85\8e\85~wmjd\85\92\85~wmjd\88\92\88\81wqjg\88\92\88~wqjg\8b\92\88\81{qmg\8b\92\8b\85{tjg\8b\95\8b\85{tmj\8e\95\8b\85{tmj\8e\95\8b\85{tmj\8e\98\8e\85{tqj\8e\98\8e\85~tmj\92\98\8e\85~wqj\8e\98\8e\85~wqj\92\9c\8e\85~wqj\92\9c\92\85~wqj\92\9c\8e\85~wqj\92\9c\92\88\81wqj\92\9c\8e\88\81{qj\95\9f\92\88\81{tm\92\9c\92\88\81{tm\95\9f\92\88\81{qm\95\9f\92\88\81{tm\95\9f\92\88\81{tm\95\9c\92\88\85{tm\95\9f\95\88\81{tm\95\9f\95\88\81{tm\98\9f\92\8b\81{tm\95\9f\92\88\81{tm\95\9f\95\88\81{tm\98\9f\92\88\85{tm\92\9f\92\88\85{tm\95\9f\92\88\81{tm\95\9f\92\88\85{tm\95\9f\92\8b\85{wq\98\9f\95\88\85{tqÚ×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøûÿÿþøîäÝÝûñçÚÓÊÃÆëÝÓÆÀ¹¯¼ÝÓƼ¶¬¥¹×ÊÀ¹¯©¢¶ÓƼ¶©¢\9f¶ÓƼ³¬¢\9f¶ÓƼ³©¢\9c³Ðƹ³©¢\9c¯Íù¯¥\9f\98¬ÊÀ¶¬¢\9f\95©Æ¼³©¢\9c\92¥Ã¹¯©\9f\98\8e¢À¶¬¢\9c\95\8b\9fÀ¶©\9f\98\8e\88\9f¹¯¥\9f\95\8e\88\9c¹¯¥\9f\92\8b\85\9c¹¬¢\98\8e\88\85\95¶¬\9f\98\8e\88\81\95³©\9f\95\8e\85~\95³©\9f\92\8b\85~\92¯¥\9f\92\8b\85~\92¯¥\9c\92\8b\85~\8e¯¢\98\92\88\85~\8e¬¢\95\8e\88\81{\8b¬¢\95\8e\85\81{\8b¬¢\98\8e\85\81ww\8e\85{tjg`ZSMC<66/,(\1f\e\15\11\ e\a\ 4\ 4\ 1\0\0\0\0\0\v\11\v\a\ 1\0\0\0%("\e\18\11\ e\v??6/,("\1fPPIC962/]]VPF?96`g]SPFC<gj`VPMFCgj`ZPMICjjd]SPICjmg]VPMCmmj]ZPMFqtj`ZSPItwmg]VPMw{qj]ZVP{{tjd]ZS~~tmg`ZS\81\81wqjd]V\81\85{qjd]Z\85\85~qmd`Z\85\85~tjg`]\88\88~wmj`]\88\8b\81wqjd]\8b\8e\81{qjg`\8b\8b\85{qmg`\8b\8e\85{tmj`\92\8e\85~tmjd\92\8e\88~wmjd\92\8e\88~wqjg×Óƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîôÿÿøîäÝÓÚôëÝÓÍùÆáÓÊù³¬¹×ÊÀ¶¯©\9f¹Ðù³©¢\9c¹Íù¬¥\9f\98¶ÍÀ¹¯¥\9f\98¹ÊÀ¹¬¥\9f\95¶ÊÀ¶¬¢\9f\95³Æ¼³¬¢\9c\92¯Æ¹³©\9f\98\92¬Ã¹¯¥\9f\95\8e©À¶¬¢\9c\95\8b¥¼³©\9f\98\92\88¢¹³©\9f\95\8e\88¢¹¯¥\9c\92\8e\85\9f¹¬¢\9c\92\88\85\9f¶¬\9f\95\8e\88\81\9f¶©\9f\95\8e\88\81\9c³©\9f\92\8e\85~\9c¯©\9f\92\8b\85~\98¯¥\9c\92\88\85{\98¯¢\98\8e\88\85{\98¬¢\98\8e\85\81{\95¬¢\98\8e\85\81w\95¬\9f\95\8b\85~w\92©\9f\92\8b\85~w\92©\9f\95\88\85~w\92©\9f\92\88\85{t\92¥\9f\92\88\81{t\92¥\9f\92\88\85{t\8e¥\9f\92\88\81~w\8e¥\9c\8e\88\81{t\8e¢\9c\92\88\81{q\8e¢\9c\8e\85~{t\8e¢\9c\8e\85\81{q\8b¢\9c\8e\85~{q\8e¢\98\8e\85~{q\8b¢\9c\8e\85~wq\8b¢\9c\8e\85~wq\8b¢\98\8e\85~wq\88¢\98\8e\85~wq\8b\9f\95\8e\85~wq\8b¢\98\8e\85~wq\88¢\95\8b\85~wq\88\9f\95\8b\85{wq\88\9f\98\8b\85~wq\88\9f\98\8b\85{wq\88\9f\95\8e\85{wq\88\9f\98\8b\85{tm\88\9f\95\8b\85{tm\88\9f\92\8b\85{wm\88\9f\95\8b\85{tq\8b\9f\95\8b\85{tm\88\9f\98\8b\85{wm\8b\9f\95\8b\85{wm\8b\9f\95\8b\85{tm\88\9f\92\8b\85{tm\88\9f\95\8b\81{tmq\85wqj`]VPIC96/(("\1f\18\11\v\a\ 4\0\0\0\0\0\0\0\0\0\ 4\ 4\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 4\0<6/,%\1f\e\15VPF<62/,`]SMC?96jd]SMFC<jj]VPMF?mj`ZPMFCmjdZSPFCqmgZSPIFtmj`VSMFwqj`ZSPI{tmd]VSP~wmj`ZSP\85{tjd]ZP\85~wmg`ZS\85\81wmj`]Z\88\85{qjd]Z\8b\85{tjg`Z\8b\85~tmg`Z\8e\88~tmjd]\92\88\85wqjg`\92\8b\85{qjg`\92\8e\85{tmj`\92\8e\85~tmjd\95\8e\85~tmjd\95\92\85~tqjg\98\92\88~wqjg\9c\95\88\81wqjg\9c\92\88\81wqjg\9f\92\8b\81{qmg\9f\95\8b\85{tmg\9f\95\8b\85{tmj\9f\95\8e\85{tmj\9f\95\8b\85{tmj\9f\98\8b\85{tmj\9f\98\8e\85~wmj\9f\98\8e\85~tqj¢\9c\92\85~wqj\9f\98\8e\85~wqj\9f\98\92\85~wqj¢\9c\92\85~wqm¢\98\8e\88~wqj¥\9c\92\85~wtj¥\9c\92\88\81wqj¥\9c\92\88\81wtj¥\9f\92\88\81wtm¥\9f\92\88\81wtj¥\9c\92\88\81{tm¥\9c\92\88\81wwm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\8b\81{tm©\9f\92\88\81~tm¥\9c\92\8b\85{tm¥\9f\95\88\85{tm¥\9f\92\88\85{tm¥\9f\92\88\85{tm©\9f\92\8b\85{tm¥\9f\92\88\81{tqäÚÍù³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþñëá×ëûîáÚÐÆÀÚäÚÐƼ¶¯Ð×Ðù³¬¢ÍÓÆÀ¶¬¥\9fÍÓƼ³©¥\9fÍÓƹ³©¢\9cÍÐƹ³©¢\9fÊÐƹ³¬\9f\9cÊÐù¯¥\9f\95ÆÊÀ¹¬¢\9f\95ÀƼ³©¢\9c\92¼Æ¹¯¥\9f\95\8e¹À¶¬¢\9c\92\8b¹¼³©\9f\98\92\88¶¹¯¥\9f\95\8e\88³¹¯¥\9c\92\8b\85¯¹¬¢\98\92\88\85¯¶¬\9f\95\8e\88\81¯³©\9f\95\8e\88\81¬¯©\9f\92\8b\85~©¯¥\9f\92\8b\85~©¯¢\9c\92\88\85~¥¬¢\9c\92\88\85{¥¬¢\98\8e\88\81{¥¬¢\98\8e\85\81{¢©\9f\95\8b\85~w\81\8e\85wqjg`ZSIC<62/,%\1f\18\11\v\v\ 4\ 4\ 4\0\0\0\0\0\0\18\11\v\ 4\ 1\0\0\0/%\1f\18\15\ e\v\aI?6/,("\1f]PI?962/j]SPF?<6mg]SPIC<qj`ZSMF?tj`ZSPICtjdZSPICtmg]VPIF{qj`ZPPI~tjd]VPI\81tmg]VSP\85{qg`]VP\85~tjg]VS\88~wmj`ZV\8b\85wmj`]V\8e\85{qjd]Z\8e\85{qmd]Z\92\85~tmg`]\95\88~tmjd]\98\88\81wqjd]\98\8b\81wqjg`\98\8b\85wtmg`\9c\8e\85{qmj`\9f\8e\85{tmjd\9c\92\85~tmjd\9f\92\88~wqjdÝÐƹ³©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿûîäÝÓîôçÝÓÊüÚá×ÊÀ¹³©ÓÓÊÀ¹¯¥\9fÐÐù¯©\9f\9cÍÍù¯¥\9f\9cÍÍÀ¹¬¢\9f\98ÍÊÀ¶¬¥\9f\98ÊÍÀ¶¬¥\9f\95ÊƼ¶©¢\9c\92Æƹ¯©\9f\98\92Ãù¯¥\9f\95\8e¼À¶¬¢\9c\92\8b¼¼³©\9f\9c\8e\8b¹¹¯¥\9f\92\8e\88¹¹¬¢\9c\92\8b\85³¶¬¢\98\8e\88\85³³©\9f\95\92\88\85³³¥\9f\92\8e\85\81¯¯¥\9f\95\8e\85~¯³¥\9f\92\8b\85\81¯¯¥\9c\92\88\81{¬¯¢\9c\8e\88\81~¬¬¢\9c\8e\85\81{¬¬\9f\95\8e\85\81w¬©\9f\95\8b\85~w©©\9f\95\8b\85~w\85\8b\85wqjg]ZPI?96//,%\1f\15\11\v\a\ 4\ 4\ 1\0\0\0\0\0\0\18\ e\a\ 4\0\0\0\0/%\1f\15\11\ e\a\ 4F<6/(""\e]PI?96/,jZSMF?<6m`]SMF?9tj`VPIF?tj]ZSIF?wj`ZSPFCwmd]SPIF{mg]VPMF~qj`ZSPI\81tmd]VPP\85wqj`ZSP\88{qjg]VS\8b~tmg`ZS\8e\81wmj`]V\92\85{qjg]Z\92\85{tjg`]\92\88~tmg`]\95\88~wmjd]\98\88\81wqjd]\95\8b\81{qjg`\98\8b\85{tjg`\9c\8e\85{tmjd\9f\8e\85{tmjd\9f\8e\85{tmjd\9f\92\88\81wqjgÚÐù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓñôëÝÓÊùäá×Êù¯¬×Óʼ¶¬©\9fÓÍƹ³©\9f\98ÓÐù¬¥\9f\98ÓÍù¬¥\9f\95ÓÍÀ¹¬¥\9f\95ÓÊÀ¶¬¢\9f\95ÐÊÀ³¬¢\9f\95Íƹ³©\9f\98\92Êù¯¥\9f\98\8eÆÀ¶¬¢\9c\95\8bü¶©\9f\95\92\88À¹¯¥\9f\95\8e\85¼¹¯¢\9c\92\8b\85¹¶¬\9f\95\8e\8b\85¹¶©\9f\98\8e\88\81¹³©\9f\95\8b\85\81¹³¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¶¯¥\9c\92\88\85{³¯¢\98\8e\88\85{³¬¢\98\8e\88\81{¯¬¢\95\8b\85\81{³¬¢\95\8b\85\81{¯©\9f\95\8b\85~w¬©\9f\92\8b\85~w¬©\9f\92\8b\85{t©¥\9f\92\88\85{t©¥\9f\8e\88\81{t©¥\9c\8e\88\81{t¬¥\9c\8e\85\81{t©¢\9c\8e\88\81wq©¥\98\8e\85~{t©¢\98\8e\85\81wq¬¢\9c\8e\85~wq¬¢\9c\8e\85~wq©\9f\98\8e\85~wq©¢\9c\8e\85~wq©¢\98\8e\85~wq©¢\98\8e\85~wq©¢\95\8b\85~wq©\9f\95\8b\85~wm¥\9f\95\8b\85~wq©\9f\98\8b\85{tq¥\9f\95\8b\85{wm¥\9f\95\8b\85{tm¥\9f\95\8b\85{wm¥\9f\95\8b\85{tm¥\9f\92\88\85{tm¥\9f\95\88\85{wm¥\9f\95\88\85{tm¥\9f\95\88\85{wq¥\9f\92\8b\85{tm¥\9f\95\88\81~tm¥\9f\92\88\85{tm¥\9f\95\8b\85{tm¥\9f\95\8b\85{tm\81\85{qj`]VPIC96/,("\1f\18\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\11\ 4\0\0\0\0\0\0(\1f\18\11\v\a\ 4\ 1C62,("\1f\eZPF<92/,j]SPFC<6qd]SPFC?wj`ZPMF?wj`ZSPICwjd]SPFC{mg]VPMF{mj]ZPPF\81qj`ZSPI\85wjd]ZPM\85wmg`ZSP\88{qjd]VP\8b~tjg]ZS\8e\81wmj`]V\92\85{qj`]Z\92\85{qjd]Z\95\85~tjg`]\95\85~wmjd]\9c\88\81wmjd]\9c\8b\85{qjg]\9c\8b\85wqjg`\9c\8e\85{tjjd\9f\8e\85{tmj`\9f\8e\85~wmjd\9f\92\85~wqjd\9f\92\88~wqjd¢\92\88\81wqjg¢\92\88\81wqjg¢\95\88\85{qmg¢\95\8b\85{tmg¢\95\8b\81{tmj¢\98\8e\85{tqj©\98\8e\85{tqj¥\95\8e\85~tmj¥\98\8e\85~wmj¥\98\8e\85~wqj¥\98\8e\85~wqj©\9c\8e\85~wqj©\9c\8e\88~wtj©\9c\8e\85~wqj¥\98\8e\85~wqm©\9c\92\85~wtj¥\9c\8e\88\81{tm©\9c\92\85~{tm©\9c\92\88\81wtm©\9c\92\88~wqm©\9f\92\88\81{tm©\9f\92\85\81{tm©\9f\92\88\81{tj©\9f\92\88\81{tm©\9f\92\88\81wtm©\9f\92\88\85{tm©\9f\92\88\85{tm¬\9f\92\88\81{tm¬\9f\92\8b\85{tm©\9f\92\88\85wtm¬\9f\92\88\85{tmçÚÍù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôëäÚÿûîäÚÐÊÃñçÝÐƼ¹¯ëÚÐƼ³¯¥äÓÊÀ¹¬©\9fáÓƼ¶¬¢\9cáÐƼ¯©¢\9cÝÐù¯©¢\9cÝÐù¯©¢\9cÚÍù¯¥\9f\98×ʼ¶¬¢\9f\95ÓƼ³©¢\98\92Ðù¯¥\9f\95\8eͼ¶¬\9f\9c\92\8bʼ³©\9f\95\8e\88ƹ¯¥\9f\95\8e\85ƹ¯¢\9c\92\8b\85ö¬¢\98\92\88\85ö©\9f\98\8e\88\81¼³©\9f\95\8b\85~¼³¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¹¯¢\9c\92\88\81~¹¬¢\98\8e\88\81{¹¬¢\95\8e\88\81{¹¬\9f\98\8e\85\81{¶©\9f\95\8b\85~w¹©\9f\95\8b\85~w¹©\9f\92\8b\85~w¶©\9f\92\88\85~t¶¥\9f\92\88\85{t³¥\9c\92\88\81{t³¥\9f\92\88\81wt³¢\9c\92\85\81wt³¥\9c\8e\85\81wq¯¢\98\8e\85~{q¯¢\9c\8e\85\81wq¯¢\95\8e\85~wq¯¢\98\8e\85~wq¯¢\95\8e\85~wq¯¢\98\8e\85~wq¯¢\95\8b\85~wq¯¢\95\8e\85{wm¯\9f\98\8b\85~wq¯¢\95\8b\85~tm¯¢\95\8e\85~tq¬¢\95\8e\85{tq¬¢\95\8b\85{tm¬\9f\95\8b\85{tm¬\9f\92\8b\81{tm¯\9f\92\8b\85{tm¬\9f\95\8b\81{tm¬\9f\95\8b\81{tm¬\9f\92\8b\81{tm¬\9f\95\8b\81{tq¬\9f\95\8b\85{tm¬\9f\92\8b\85{tm¬\9f\92\8b\85{tm¬\9f\95\88\85{tq\88\85{qjd]ZSMC96//,(\1f\18\11\ e\v\ 4\ 1\ 4\0\0\0\0\0\0\0\11\ 4\ 1\0\0\0\0\0(\1f\18\11\v\a\ 4\ 1C62,%"\1f\18ZPF?62/,g]SMF?96md]SMF?<tj]ZPIF?tj`VSMI?wjdZSMIC{jg]SPMC{mg]VPMF~qj`ZSPI\81tjd]VPM\85wmj]ZSP\88{qjd]VP\88~tjg]ZS\8e\81wmj`]S\8e\85{qj`]V\92\85{qjg`Z\92\85~tmg`]\92\88~tmg`]\95\88\81tmjd]\98\88\81wqjg]\98\8b\85wqjg]\9c\8b\85{tmg`\9f\8e\85{tmj`\9f\8e\85~tmj`\9f\92\88~tqjd\9f\92\88~wqjg\9f\92\88\81wqmg\9f\92\8b\81wqmg¢\92\88\81{tmg\9f\95\88\81{tmj¢\95\8b\85{tmj¢\95\8b\85{tmj¢\95\8b\85~wmj¢\98\8e\85~wqj¥\95\8e\85~wqj¢\98\8e\85{wqj¢\98\8e\85~wqj¥\98\8e\85~wqj¥\98\8e\85\81wqj¥\9c\8e\88~wtj¥\9c\8e\85~wqj¥\9c\8e\85~wtm¥\9c\92\85~wqj©\9c\92\88\81wtm©\9f\92\88~wtm©\9c\92\88~wqm©\9c\8e\88\81wtm©\9f\92\88\81wtm©\9c\92\88\81wtm©\9c\92\88\81wtm©\9f\92\88\81wtm©\9f\92\88\81wtm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tmç×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôëäÚÿûîäÚÐÆÃøçÚÐƼ¹¯ë×Ðù³¬¥äÓƼ¶¬¥\9fáÐƹ³¬¥\9cáÐƹ³©¢\9cáÐù¯©\9f\9cÝÐù¯©\9f\9cÚÍÀ¹¯¥\9f\95×ʼ¶¬¢\9c\95ÓƼ³©\9f\98\92Ðù¯¥\9f\95\8eÍÀ¶¬¢\9c\92\8bʹ¯©\9f\95\8e\88ƹ¯¥\9f\92\8e\85ƹ¬¢\9c\92\8b\85ƶ¬\9f\98\92\88\85ó©\9f\95\8e\88\81ó©\9f\95\8b\85\81À³©\9f\95\8b\85\81¼¯¥\9f\92\88\85~¼¯¢\9c\92\88\85{¼¯¢\9c\8e\88\81~¼¬\9f\98\8e\88\81{¹¬\9f\95\8b\85~{¹©\9f\95\8b\85~w\9c\8b\85{qjg]ZPIC<62,,"\1f\15\11\v\a\ 4\a\ 4\0\0\0\0\0\0\18\ e\a\ 1\0\0\0\0,"\1f\18\11\v\a\ 4C<6/,%"\eZPI?96//g]SMC?96m`ZSMFC9qj]VPIC?tj`ZPIFCtj`ZSPICwmd]SPIC{mg]VPMF~qj`ZSPI\81tmd]VPM\85wmg`ZSP\85{qjd]ZP\88~tmg]ZS\8b\81wqj`]V\8b\85wqjd]Z\8e\85{tjg`Z\92\88{tmg`]\92\85\81tmjd]\95\88\81wqjd]\95\8b\81wqjg]\98\8b\81{tjg`\98\8e\85{tmj`\98\8b\85{tmj`\9f\8e\85~tmjd\9f\92\88~tmjgÚÐù¯©¢\98ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÚÓÿôçÝÓÊüñáÓʼ¹³©äÓʼ¶¬¥\9fÝÍƹ¯¥¢\9cÚÊö¬¥\9f\98ÚÊÀ¹¬¥\9f\95Úʼ¹¬¥\9f\95ÚÊÀ¶¬¥\9f\95×Ƽ¶©¢\9c\95Óƹ³¥¢\95\92Óö¯¥\9f\95\8eÍÀ¶¬¢\9f\95\8bͼ³©\9f\95\8e\88ʹ¯¥\9c\92\8e\85ƹ¬¢\9c\92\8b\85ƶ¬\9f\98\8e\88\85ó©\9f\98\8e\85\81À³©\9f\92\8b\85~À¯¥\9f\92\8b\85~¼¯¥\98\92\8b\85~¼¯¢\9c\8e\8b\85{¹¬¢\9c\8e\88\81{¹¬¢\95\8e\85~{¹©\9f\98\8e\85\81w¹©\9f\95\8b\85~w¶©\9f\92\88\85~w¹¥\9f\95\8b\85{t¶¥\9f\92\88\81{t¶¥\9f\92\88\81{t¶¥\9c\92\85\81{t³¢\98\92\85\81{t³¢\9c\8e\85\81wt³¥\98\8e\85~wt¯¢\98\8e\85~wt³¢\98\8e\85~wq³¢\98\8e\85~wq¯¢\98\8b\85~wq¯¢\95\8b\85~wq¯¢\95\8e\85~tm¯¢\95\8e\85~wq¯¢\95\8e\85~tq¯¢\95\8b\85{tq¯\9f\95\8b\85{tq¯\9f\95\8b\85{tm¯\9f\92\8b\85{tm¬\9f\92\8b\85{tq¬\9f\95\88\81{tm¬\9f\95\88\81{tm¯\9f\92\8b\81{tm¯\9f\95\88\81{tm¬\9f\92\8b\81{tm¬\9f\92\8b\81{tq¬\9f\92\88\85{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¯\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tj\92\81wqjd]VPIC96//(%\1f\18\11\v\ 4\ 4\ 1\ 4\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0%\1f\18\11\v\ 4\ 4\0?92,%%\1f\eVMF?92/,g]SMF?<6md]VPFC<qj`ZPMFCtj`ZSMFCtjdZSPICwmd]SPMCwmg]VSMF{tj`ZSPI~tjd]VPM\81wqg`ZSP\85{qjd]VP\85~tjd]ZS\88\81wmg`]V\8b\85wmjd]Z\8e\85{qjd]Z\8e\85~tjg`Z\92\88~tmjd]\92\88~wmjg]\95\88\85wqjd]\95\8b\81wqjg]\98\8b\85{tjj`\98\8e\85{tmj`\9c\8e\85{tmj`\9c\8e\85~wmjdÝÍù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿÿøîáÚÓÿôçÚÓÊùîáÓÆÀ¹¯©äÓƼ¶¬¥\9fÝÍù¯¥\9f\9cÚÊÀ¹¬¢\9f\95ÚÊÀ¶¬¥\9f\95×ÊÀ¶¬¢\9f\95×ʼ¶¬¢\9f\95×Ƽ³©¢\9c\92Óƹ¯¥\9f\98\92Ðù¯¢\9f\95\8eʼ¶©\9f\9c\92\8bʼ¯©\9f\95\8e\88ù¯¢\9c\92\8b\85ƶ¯¢\9c\92\8b\85ƶ¬\9f\98\8e\88\85ö©\9f\95\8e\88\81À³©\9f\92\8e\85\81¼¯¥\9f\92\8b\85~¼¯¥\98\92\88\85{¼¯¢\98\92\88\85{¹¬¢\95\8e\85\85w¹¬¢\95\8e\85\81w¹¬\9f\95\8b\85~w¹©\9f\95\8b\85~w¶©\9f\92\8b\85~w\9c\8b\81wqjd]ZPF?96//("\e\15\ e\v\a\ 4\v\ 1\0\0\0\0\0\0\18\ e\ 4\ 1\0\0\0\0,%\1f\15\11\ e\a\ 4C96/("\1f\eVPF?62/,dZSIC?96j`ZSMC?9mg]SPFF?qg`VPIF?tj`ZSMFCtjd]SPICwmg]VPMF{qj`ZSPI~tjd]VPM\81wmg`ZSP\85{qjd]VP\88{tjg]ZS\88~wmg`]V\8b\85{qjd]V\8b\85{qjg`Z\8e\85{tmj`]\92\88~tmg`]\92\88~wmj`]\95\8b\81wqjg`\92\8b\85wqjg`\98\8b\85{tjj`\95\8b\85{tmj`\9c\8e\85~wmjd\9c\8e\88~wmj`ÚÍù¯©¢\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÚÓÿñëÝÓÊùîáÓÊù³©äÓʼ¶¬¥\9fÚÍù¯¥\9f\98×ÊÀ¶¯¥\9f\95ÚÊÀ¶¬¥\9f\95×ÊÀ¶¬¢\9f\95×ÆÀ³¬¢\9f\92ÓƼ³©\9f\9c\92Óƹ¯©\9f\98\92Ðù¬¢\9c\95\8eÍÀ¶©¢\9c\92\8bʼ¯©\9f\95\92\88ƹ¯¥\9f\95\8e\85ù¯¢\9c\92\8b\85ö©¢\98\8e\88\85À³¬\9f\95\8e\85\81¼¯¥\9f\92\8b\85\81¼¯¥\9c\92\88\85{¹¯¥\9c\92\88\85{¹¬¢\9c\8e\88\81{¹¬\9f\98\8e\85\81{¹©¢\98\8b\85\81{¶©\9f\95\8b\85~w¶©\9f\95\8b\85~w¶¥\9f\92\88\85~w\9c\8b\81{qjd]VPIC<66/,%\1f\18\11\ e\v\ 4\ e\ 4\0\0\0\0\0\0\15\11\v\ 4\ 1\0\0\0,%\1f\18\15\11\v\aC<6/,%\1f\eVPF?96//dZSIC?96j`ZPMF?<mg]SPIC<qg`VPMF?qj`ZPMF?tj`ZSPICwmd]VPIFwqj]ZSPI{tj`]VPM\81wmg]ZSM\81{qjdZVP\85~tjd]ZS\88\81tmj`]S\8b\81{mj`]V\8b\85{qjd]Z\8e\85{qjd`Z\8e\88{tmg`]\92\88~tmgd]\92\88\81wqjg]\95\8b\85wqjg]\95\8b\81{qmg]\95\8e\85{tmj`\98\8e\85~tmjd\98\92\85~tmjd\9c\92\85~wqjg\9f\92\88\81wqjg\9f\92\88~wqjg\9f\92\8b\81wqjg\9f\92\88\81{qjj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\98\8b\85~tmj\9f\98\8b\85{tmj¢\98\8b\85~wqj\9f\98\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85\81{tm¥\9c\92\85~wqj¥\9c\92\85\81wqj¢\98\92\85\81{tm¢\9c\8e\85~wqj¥\9f\92\85~wqm¥\9c\92\88~wtm¥\9c\8e\88\81wqm¢\9c\92\88\81wtm¥\9c\92\85\81{tm¥\9c\92\88\81wtj¥\9c\92\88\81{tm¢\9c\92\88\81{tmä×Êù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿûñëäÚÿûîäÚÓÆÀôçÚÐƼ¹¯çÚÍù³¬¢áÓƼ³¬¥\9fÝÐƹ³©¢\9cÚÍƹ¯©¢\9cÚÍù¯©¢\98ÚÍù¯¥\9f\98×ÊÀ¹¬¥\9f\95ÓƼ³¬¢\9c\95Íù¯¥\9f\98\8eÍù¬¥\9f\92\8eÊÀ¶©\9f\98\92\8bƹ¯¥\9f\95\92\88ù¯¥\9f\95\8e\85ù¬¢\9c\92\8b\85À¶¬¢\98\8e\88\81À³©\9f\95\8e\85\81¼³©\9f\92\8b\85\81¼³¥\9c\92\8b\85~¹¯¥\9c\92\88\85~¹¬¢\98\92\88\85{¹¬¢\98\8e\85\81{¶¬\9f\95\8b\85~{¶©\9f\95\8e\85~w¶©\9f\92\8b\85~w³©\9f\92\8b\85~w³©\9f\92\8b\81{t¯¥\9f\92\88\81{t¯¥\9c\92\88\81{t¯¢\9c\8e\88\81{t¯¢\9c\8e\88\81{q¯¢\9c\8e\85~wq¬¢\98\8e\85\81{q¯¢\9c\8e\85~wq¬¢\98\8e\85~wq¬¢\98\8b\85~wq¬\9f\95\8b\85~wq¬\9f\95\8b\85{wq¬¢\95\8b\85~wq¬\9f\98\8b\85~wm©\9f\98\8b\85{wq¬¢\98\8b\85{tq¬\9f\95\8b\81{wm©\9f\95\8b\85{tm©\9f\92\8b\81{tm¬\9f\92\88\85{tm©\9f\95\88\85{tm©\9f\95\8b\85{wq©\9f\95\88\85{tm©\9f\95\88\85{wm©\9f\92\88\81{tm©\9f\95\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81wtm\8e\81wqj`]VPI?96/,("\1f\15\ e\a\ 4\ 1\0\ 1\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 4\0<6/,"\1f\1f\15SMC<9//,`ZSIC<96jdZPMF?<mg]VPIC?mj]VPMF?tj`ZPPFCtj`]SPICtmg]VPMF{mj]ZSPF~tjd]VPM\81wmg`ZSP\85{qj`]VP\85{tjd]ZS\88~wmj]]S\88\85wmjd]Z\8b\85{qjd]Z\8b\85{tjg`]\92\85{tmj`]\92\88~wmjd]\92\8b\81wqjd]\92\8b\81wqjd`\92\8b\85{tmj`\95\8e\85{tmjd\98\8e\85{tmjd\98\92\85~tqj`\9c\92\85~tmjg\9c\92\88~wqjg\9c\92\88~wqjg\9f\92\88\81{tjg\9f\95\88\81{qmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8e\85~tmg\9f\98\8e\85{tmj\9f\98\8e\85{tmj\9f\95\8e\85{tmj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\88\81wqj¢\9c\8e\88\81wqj¢\9c\92\88\81wqm¥\9c\92\88~wqj¢\9c\92\85\81wtm¢\9c\92\85\81wqj¢\9c\92\88\81wtj¢\9c\92\88\81wtm¥\9c\8e\88\81{tm¥\9c\92\88\81{qm¥\9c\92\88\81{tm¢\9c\92\88\81{tm¥\9c\92\88\81wtmá×Íù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûñçáÚÿøîá×ÍÆÀñäÚÐü¶¯ä×Êù³¬¢ÚÐƼ³¬¥\9f×Íù¯©¢\9c×Íù¯©¢\98×Íù¯©\9f\9c×Íù¯¥\9f\95ÓÊÀ¶¬¥\9f\95ÓƼ³©¢\9c\92Ðù¯¥\9f\98\92Íù¬¥\9f\95\8eʼ¶©¢\9c\92\88ƹ¯¥\9f\95\8e\88ù¯¥\9c\95\8e\85À¶¬¢\9c\92\8b\85À¶¬\9f\95\8e\88\85¼³©\9f\95\8e\85\81¹¯©\9f\95\8b\85~¹¯¥\9c\92\88\85~¹¯¥\9c\92\88\85{¹¬¢\9c\92\88\85{¶¬¢\98\8e\85\81{¶¬¢\95\8e\85\81w³©\9f\95\8e\85~w¶©\9f\92\8b\85~w\92\8b\85wqjg]ZPI?962/("\1f\18\11\ e\ 4\ 4\a\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,%\1f\18\11\ e\v\ 4C96/(%"\1fVPF?96//d]SMF?<6j`ZSMF?<mg]VPIFCqj`ZPMFCqj`ZSPFCtjd]VPMFwmg]VPMI{qj`ZSPI~tjg]VSP\81wmg`ZSP\85{qjg]VS\85~tjg]ZS\88\81wmg`]V\88\81wqjd]Z\8b\81{qjd]Z\8e\85{tjg`]\8e\85~tmj`]\92\88~tmjd]\95\88\81wmjd]\95\88\85wqjg]\95\8b\85{qjg`\95\8e\85{tmg`\95\8e\85{tmjd\98\92\88~tmj`×Íù¯¥¢\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿÿøîäÚÓþñçÚÓÊÀ¹ëáÓÆÀ¹³©ÝÓÆÀ¶¬¥\9fÓÍù¯©\9f\98×ÊÀ¹¬¥\9f\95ÓÊÀ¶¬¥\9f\98ÓƼ¶¬¢\9f\95ÓƼ¶©¥\9c\95Ðƹ³©¢\98\92Íƹ¯¥\9f\98\92Êö¬¢\9f\95\8eÆÀ³¬\9f\98\92\8bƼ¯©\9f\95\8e\88ù¯¥\9c\92\8e\85À¶¬¢\98\92\88\85¼¶©\9f\95\8e\88\85¹³©\9f\95\8e\85\81¹¯¥\9f\92\8e\85~¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\81~¶¯¢\98\8e\88\81{¶¬\9f\95\8b\88\81{¶©¢\98\8b\85~{³©\9f\92\8b\85~w³¥\9f\92\88\85~w³©\9f\92\88\85{w\92\88\81wqjd]VPF?962,,"\1f\15\11\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0,"\1f\15\11\v\a\ 4C96,(%\1f\1fVPF?96/,`ZPIC?96jdZPIF?9mg]SPIC?mg]VPFF?qj`VPMF?tjd]SPICtmg]SPIFwqj]ZSPI{tj`ZSPI~wmg`ZSP\81wmj`ZVP\85{qjg]VS\88~tmg`]V\88\81wmjd]V\8b\81{qjd]Z\8e\85{tjg`Z\92\85~tmj`]\92\88\81tmjd]\92\88\81wqjg]\95\8b\81wqjg`\92\8b\85{tjg`\95\8b\85{tmg`\98\8e\85{tmjd\98\8e\85~tmjd×Êù¯©\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûñîÿÿþøîáÚÓûñçÝÐÊùëÝÓÆÀ¹¯¬ÝÓƼ¶¯¥\9f×ÐÀ¹¯©\9f\9cÓÍÀ¶¬¥\9f\95Óʼ¶¬¢\9f\95Óʼ¹¬¥\9f\98Óʼ¶¬¥\9c\95ÓƼ³©¢\9c\92Íƹ¯¥\9f\98\92Êö¬¢\9f\92\8eÆÀ³¬\9f\98\92\8bƼ¯©\9f\98\8e\88ù¬¥\9c\92\8b\85¼¹¬¢\9c\92\88\85¼¶©\9f\95\8e\85\81¹³©\9f\92\8e\85\81¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\8e\88\85{¹¬¢\98\8e\88\81{³¬\9f\98\8e\88\81{³©\9f\95\8e\85\81{³©\9f\95\8b\85~w¯©\9f\92\88\85~w¯©\9f\92\88\85{w¯¥\9c\92\88\85{w¯¥\9c\92\88\85{w¬¥\98\92\85\81wt¬¢\9c\92\85\81{t¬¥\98\92\85\81wt¬¢\98\8e\85\81wt©¢\95\8e\85~wq©¢\95\8e\85~wq©¢\95\8e\85~wq©\9f\95\8e\85~wq©\9f\95\8e\85~wq©\9f\95\8b\85~tq©\9f\92\8b\85~tm©\9f\95\8b\85~tq©\9f\92\8b\81{tm©\9f\92\8b\85~tq©\9f\92\8b\81~tm©\9f\92\88\85{tq¥\9f\92\88\81{wm©\9f\92\8b\85{tm¥\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88~{tm¥\9f\92\88~{qm¥\9f\92\88\81{tm¢\9f\92\88\81{qm\88\81wmg`]VPF?92/,("\e\15\ e\v\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0%\e\15\ e\a\a\ 4\0<6/,%\1f\e\18SMC<62/,`]PMC<96jd]SMF?<mg]VPIF?qg`ZPMF?qj`ZSPFCtjd]SPIFwmg]VPMFwqj`ZSPI~tj`]VSM~wmj]ZSP\85{qj`]VP\85{tjd]ZS\88~tjg]ZS\88\81wmj`]V\8b\85wqjd]V\8e\85{qjg]Z\8e\85{tjg`]\92\85~wmgd]\92\88~wqjd]\95\88\81wqjg]\92\88\85wqjg]\95\8b\85{tmj]\98\8b\85{tmj`\98\8e\85{tmjd×Íù¯¥\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøëäÚÓûñäÝÐÊÀ¹ëÝÓÆÀ¹¯©ÝÓƼ¶¯¥\9f×Íù¬¥\9f\9cÓʼ¶¬¢\9c\95Óʼ¶¬¢\9f\95ÓƼ¶¬¢\9c\95ÓƼ¶©¢\9f\95ÐƼ³©¢\9c\92Íƹ¯¥\9f\98\8eÊù¬¢\9f\92\8eÆÀ³©\9f\9c\92\8bƼ¯¥\9f\98\8e\88ù¬¥\9c\92\8b\85À¶¬¢\98\92\88\85¼¶©\9f\95\8e\88\81¹³¥\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¶¬¢\9c\8e\88\85{¶¬\9f\98\8e\88\81{³¬\9f\98\8e\85\81{³©\9f\98\8b\85~w¯©\9f\95\8b\85~w¯©\9f\92\88\85~w¯©\9f\92\88\85{t\92\8b\81wmjd]ZPI?96/,("\e\15\11\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0("\e\15\11\v\v\ 4?92,(%\1f\eVPF<92/,dZPIC<96j`ZPMF?9md]SPFC<mj]VPIC?qg`ZPMF?tj`ZSPICtmg]SPMFwqj]VSPF{tj`]VPI\81wjg]VSP\85{qj`]VP\85{tjd]ZS\85\81tmg`ZS\8b\81wmj`]V\8b\81{qjd`Z\8e\85{qjd`Z\8e\85~tmg`Z\92\85~tmjd]\92\88~tqjg]\95\88\81wqjg`\92\8b\81wtjg`\95\8b\85{tmj`\98\8e\85{tmjd\98\8e\85{tmjdÓÊÀ¹¯¥\9f\9cÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñîÿÿþøîäÚÓûôäÚÓÆÀ¹ëÝÓʼ¹¯©ÝÓƼ³¬¥\9f×Íù¬©\9f\9c×ʼ¶¬¥\9f\98×ʼ¶¬¥\9c\95ÓƼ¶¬¥\9f\95Óʼ¶¬¢\98\95ÐƼ³©¢\9c\92Íù¯¥\9f\98\92Êö¬¢\9f\95\8eƼ³©\9f\98\8e\88Ƽ¯¥\9f\95\8e\85ù¬¢\98\92\8b\85À¹¬¢\98\92\88\85¼³©\9f\95\8e\88\81¹¶¥\9f\92\8e\85~¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¹¯¢\98\8e\88\81~¶¬\9f\98\8e\88\81{³¬\9f\98\8b\85\81{³¬\9f\98\8b\85~w¯©\9f\95\88\85~w³©\9c\92\88\85{w¯¥\9f\92\88\85{t\92\88~wmjd]VPI?962,,"\e\15\11\v\v\a\v\ 4\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,%\1f\18\15\ e\v\aC<6/,("\1fSPF?96//`ZPMF?<6j`ZPIF?9mg]SPIC?qj]VPMF?qj]ZPMF?tj`ZSPICtmd]VPIFwmj`ZPPI~tj`ZSPM~wmg]ZSP\85{qg`ZVP\85~qjd]ZS\85~tmg`ZS\88\81wmj`]V\8b\85wqjd`V\8b\85{qjd`Z\8e\85{tmg`Z\92\85~tmj`]\92\88\81wmjd]\92\88\81wqjg`\92\8b\81{qjg`\95\8b\85{qjg`\98\8b\85{tmj`\98\8e\85{wmj`\9c\8e\85~tmjg\9c\92\85~wmjd\9c\92\88~wqjg\9c\92\88\81wqjg\9f\92\88\81{qjg\9f\92\88\81{tmj\9f\92\88\81wtmg\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\98\8b\85{tqj\9f\95\8b\85~tqj\9f\95\8b\85{tqj\9f\95\8b\85~tqj¢\95\8b\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~tqj¢\95\8e\85~wqj¢\9c\8e\85\81wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85\81{tj¢\98\92\85\81wtm¥\9c\92\85\81wqm¢\98\92\85\81wtm¥\98\92\85~wqj¥\9c\92\85\81wqm¢\9c\92\88\81{qm¥\9c\92\85\81wtm¢\9c\8e\88\81{tmáÓÍÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëáÚÿûîá×ÐÆÀîçÚÐƼ¶¯ä×Íù³©¢ÝÓƼ³¬¥\9f×Ðù¯©¢\9c×Ðù¯©\9f\9c×Íù¯©\9f\9c×ÍÀ¹¯©\9f\9cÓÆÀ¶¬¥\9f\95ÐƼ³©¢\98\92Íù¯¥\9f\95\8eÊÀ¹¬¢\9f\95\8eƼ³©\9f\9c\92\8bù¯¥\9f\98\8e\88À¹¬¢\98\95\8b\85À¶¬\9f\98\92\88\85¼³©\9f\95\8e\88\81¹¯¥\9f\92\8b\85\81¹¯¥\9f\92\8b\85~¹¬¥\9c\92\8b\85~¹¯¢\9c\8e\88\81~¶¬¢\98\8b\88\81{³¬¢\98\8b\88~{³©\9f\98\8b\85~{³©\9f\95\88\85~w¯©\9f\92\88\85{w¯©\9f\92\88\85{w¯¥\9c\92\88\81{t¯¢\9f\92\88\81{t¬¥\9c\8e\85~wt¬¢\9c\8e\85~wt¬¢\9c\8e\85~wq¬¢\9c\8e\85~wq¬¢\98\8e\85~wq¬¢\9c\8e\85~wq©\9f\95\8b\85{tq©\9f\95\8b\85~wq©\9f\95\8b\85~tm©\9f\92\8b\81~tm©\9f\95\8e\81{tq©\9f\95\8b\85~tm©\9f\92\8b\81~tm¥\9f\92\88\85~tm©\9f\92\88\81{tm©\9f\92\8b\81{tq¥\9f\92\8b\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{qm¥\9f\92\88~{tm¥\9f\92\88\81{tm¥\9f\92\88~{qm¥\9f\92\88~wqm\85\81wmj`]VPI?96/,("\1f\18\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/,"\1f\e\18SIC<6//(`ZPIC<96j`ZPMF?<mg]SPIC<qg]VPIC?qj]ZPMF?qj`ZSPICtjd]SPIFwmg]ZPPF~tjdZSPM~tmd]VSP\85wmj`]SP\85{qjd]ZP\88~tmg`ZS\88\81tmjd]V\88\85wmjd]Z\8b\85wqjg`Z\8e\85{tjg`Z\8e\85{tmj`]\92\88~wmjd]\95\88~wqjg]\92\8b\81wqjg`\95\8b\81wqjj`\95\8b\85{tmjd\98\8e\85~tmjd\9c\8e\85~wmjg\98\8e\85~wmjg\9c\92\85~wqjg\9c\8e\85\81wtmg\9c\92\88\81wqmg\9f\92\88\81wqmj\9f\92\88\81wtmj\9f\92\88\85wtmj\9f\92\88\85{tmj\9f\95\88\85{tqj\9f\95\88\85{tmj¢\98\88\85{tqj\9f\95\8b\85{tqj\9f\98\8b\85{tmj\9f\98\8b\85~tqj\9f\98\8b\85~wqj¢\98\8e\85~tqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8b\85~wtj¢\9c\8e\85~wqj¢\9c\8e\85\81wtj¢\9c\8e\85~wqj¢\9c\8e\85~wtj¥\9c\8e\85~wqj¢\9c\8e\85\81wqm¢\9c\8e\85~{tm¥\9c\8e\85\81{tmáÓÊÀ¹³©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûîçá×þøîá×ÍƼîä×ÍƼ¹¯ä×Íù¯©¢ÝÐƹ³¬¥\9fÚÍù¯©¢\9c×Êù¯©\9f\98×Íù¬¥\9f\95ÓÊÀ¹¯¥\9f\95ÓƼ¶©¢\9f\95ÐƼ³©\9f\9c\92Íù¬¥\9f\95\8eÊÀ¶¬¢\98\92\8eƼ³©\9f\95\92\8bù¯¥\9f\95\8e\88ù¬¥\9c\95\8b\85À¹¬¢\98\92\88\85¼¶©\9f\95\8e\88\81¼³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85{¹¬¥\98\8e\88\85{¶¬¢\95\8e\85\81{¶¬\9f\95\8e\85~w³©¢\92\8b\85~w³¥\9f\92\8b\85~w¯©\9f\92\8b\85{w¯¥\9f\92\88\81~t¯¥\9f\8e\88\81{t¯¥\9c\8e\88\81{t¯¢\9c\92\85\81wt¬¢\98\8e\85~wq¯¢\9c\8e\85~wq¬¢\98\8b\85~wq¬¢\98\8b\85~wm¬¢\95\8b\85~tm¬\9f\95\8b\85~tm©\9f\95\8b\81{tm©\9f\95\8b\85{tq©\9f\95\88\85{tm©\9f\95\88\85{tm©\9f\95\88\85{tm©\9f\98\88\81{tm©\9f\95\88\85{tm©\9f\98\88\81{wm©\9f\95\88\81{tm©\9f\92\88\81{tm¥\9f\95\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wqm¥\9f\92\88\81{tm¥\9f\92\88\81wtj¥\9f\92\88\81wtm¥\9f\92\88\81wqm¥\9f\92\88\81wqj¥\9c\92\88~{tj¥\9f\92\88\81{tj\88\81wmg]]SPF?92/,%\1f\e\15\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0\1f\e\15\ e\ 4\ 4\ 1\0<6/("\1f\e\15SMC<6//(`ZPIC<96j`ZPIF?9mg]VPIC?mg]VPMC?qj`ZPMF?tj`ZSPFCtjdZSPICwmg]ZPMF{tj`ZSPI~tmd]ZSP\81wqj`]VP\85{tjd]ZS\85~tmg]ZS\88~wmj`]V\88\81wqjg]Z\8b\85{qjg]Z\8e\85~tjg`Z\8e\85~tmj`]\92\88~wmjd]\92\88~wqjg]\92\8b\85wqjg`\98\8b\85{qmg`\95\8b\85{tmg`\98\8b\85~tmjd\98\8e\85{tqjd\9c\8e\85~tqjg\9c\8e\85~wqjd\9c\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wtmg\9f\92\88\81wtmj\9f\92\8b\85{tmg\9f\95\8b\81{tmj\9f\95\88\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\98\8b\85~tqj\9f\95\8b\85~tqj¢\98\8e\85{wqj\9f\95\8b\85~tmj¢\98\8e\85{wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85\81wqj¢\9c\8e\85~wqj¥\9c\8e\88~{tm¢\98\8e\85~wtm¢\9c\8e\85~wtj¥\9c\8e\85~wtj¢\9c\8e\88~wtj¢\9c\8e\88~{tm¥\9c\8e\85~wtmáÓÆÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûîÿÿÿûîçÝÓþôëÝÓÍƼîá×Êü¶¬á×ÊÀ¹¯©¢ÚÐù¯¬¢\9f×Íù¯©¢\9c×ÍÀ¹¯©¢\98×Íù¯¥\9f\9c×ÊÀ¹¬¥\9f\98Óʼ¶¬¢\9f\95Óƹ³©¢\9c\92Íù¯¥\9f\95\92ÊÀ¶¬¢\98\95\8bƼ³©\9f\98\92\8bƹ¬¥\9f\95\8e\85ù¬¢\9c\92\8b\85À¶©¢\98\92\88\85¼³¥\9f\95\8e\88\81¹³¥\9f\92\8b\88~¹¯¢\9f\92\8b\85~¹¯¢\9c\92\8b\85{¹¬¢\98\8e\88\85{¹¬\9f\98\8e\85\85{¶¬\9f\95\8e\85\81w³©\9f\95\8b\85~w³©\9f\92\8b\85~w³¥\9f\95\88\85~t\92\88\81wqjd]VPF?96/,("\e\15\ e\ e\a\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\a\ 1\0\0\0\0,"\1f\18\11\v\v\ 4C92/(""\1fVPC?92/,dZPIC?96j`ZPIF?9mg]SPMC<mg]VPMFCqj`VPPICtj`]SPICtjg]VPPFwmg`ZSPI{tj`]SPM\81wmg]ZSP\81{mj`ZVP\85{qjd]ZP\85~tjg`ZS\88~wmj`]V\88\81{qjd]V\8b\85{qjg]Z\8e\85{qjg`Z\8e\85{tjgd]\8e\88~tmjd]\92\88\81wqjd]\92\88\81wqjg`\95\8b\81{qjj`\98\8b\85{qjg`\98\8e\85{tmj`×Êù¬¥\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþñîÿÿþôëäÚÐûñäÚÐÆÀ¹çÝÓƼ¶¯©ÚÓƼ³¬¢\9fÓÊÀ¹¬¥\9f\95ÓƼ¶©¥\9f\95Óʼ¶¬¢\9c\95ÓƼ³©¢\9c\92ÐƼ³©¢\9c\92Ðƹ¯¥¢\98\92Íƹ¯¥\9f\95\8eÊÀ¶¬\9f\9f\92\8eƼ¯©\9f\9c\92\8bù¯©\9f\92\8e\88À¹¬¢\98\92\8b\85¼¶©¢\98\92\88\81¼³¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85~¹¯¢\9c\92\8b\85~¹¯¢\9c\8e\88\81{¶¬¢\95\8e\88\81{¶¬¢\95\8e\88\81{³¬\9f\98\8b\85~{³©\9f\98\8b\85~w¯©\9f\92\8b\85~w¯¥\9f\92\88\85{t¯¥\9c\92\88\85wt\92\88~wmj`]VPF<96/,(\1f\e\11\ e\v\ 4\ 1\a\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\1f\15\ e\v\ 4\ 4?92,("\1f\eSMF<96/,`VSIC?96j`ZPIC?9jd]SPFC<mg]SPIC<mj`VPMF?qj`ZPPFCtjd]VPIFwqj`ZPMF{qj`]VPI~tmd]VPP\81wqj`ZSP\85{tj`]VS\85~wjg`ZS\88\81wmg`]V\88\81{qjd]Z\8b\85{qjg`Z\8e\85{qjg`]\8e\85~tmgd]\92\85~tmjd]\92\88\81wqjg`\92\88\85{qjg`\95\88\85{qmg`\95\8b\85{tmg`\98\8b\85{tmjdÓÊÀ¶¬¥\9f\9cÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûñîÿÿþôëáÚÐûîçÚÐÆÀ¹çÝÓƼ¶¯¥ÝÐƹ³©¢\9fÓÊÀ¶¬¥\9f\98Óʼ¶¬¢\9c\95ÓƼ³¬¢\9c\92ÓƼ³©¢\9c\92ÓƼ³©¢\9c\95Ðƹ¯¥\9f\95\92Íù¬¥\9f\95\8eÊÀ¶¬¢\9c\92\8bƹ³©\9f\98\8e\88ù¯¥\9f\95\8e\85ö¬¢\98\92\88\85¼³©¢\98\8e\88\85¼³©\9f\95\8e\85\81¹¯¥\9c\95\8b\85\81¹¯¢\9c\92\8b\85{¹¬¢\9c\8e\88\85{¶¬\9f\98\8e\88\81{¶¬\9f\95\8e\85\81{¶©\9f\95\8b\85\81w³©\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9f\92\88\85{t¯¥\9c\92\88\81{t\92\88~tmj`]VPI?962/,"\1f\15\11\ e\ e\ 4\v\ 1\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,%\1f\18\15\ e\v\aC<2/,%"\1fSPF<96/,`ZPMC?96j`VPMF?9md]PMFC<mg]SPIC<mg]VPMF?qj`ZPMFCtm`]SPMCwmd]VPPF{tj`ZSPI~tjd]VSM\81wmj`ZVP\85{qj`]VP\85~tjg]ZS\88\81tmg`]V\88\81wmj`]Z\8b\85wqjd`Z\8b\85{tjg`]\8e\85{tmg`Z\8e\88~tmjd]\92\88~wmjd]\92\88\81wmjg]\95\8b\81{qmj`\95\8e\85{qmj`\98\8e\85{tmg`Óʼ¹¬¥\9f\9cÿÿÿÿÿÿÿøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûôëáÚÓûñáÚÍÊÀ¹çÝÐƹ¶¯©ÚÐƹ³¬¢\9fÓʼ¶¬¥\9f\95Óƹ³¬¥\9f\92Ðƹ³©¢\9c\95Ðƹ³©¢\9f\92Ðƹ³©¢\9c\95Íƹ¯¥\9f\95\92Êö¯¢\9f\95\8eÆÀ³¬\9f\9f\95\8eƼ¯©\9f\98\92\88ù¬¥\9c\95\8e\85À¹¬¢\98\92\8b\85¼³©\9f\95\8e\8b\85¼³¥\9f\92\8e\88\81¹³¢\9c\92\8b\85~¹¯¢\9c\92\8b\85~¹¯¢\9c\8e\88\81{¶¬\9f\98\8e\88\81{³¬\9f\98\8b\88\81{³©\9f\95\8b\85\81w¯©\9f\95\88\85~w¯¥\9c\92\88\85~w¯¥\9c\95\88\85~t¯¥\98\92\85\85{t¬¢\98\92\88\85{t¬¥\98\8e\85\81wt¬¢\98\8e\85\81{q¬¢\95\8e\85~wt©¢\95\8e\85~wt©¢\95\8b\85~wq©¢\92\8b\85~wq©¢\92\8e\85~wq©\9f\95\8b\81~tq©\9f\92\8b\81{tq¥\9f\92\8b\81~tq¥\9f\92\8b\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tq¥\9f\92\88\81{tq¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\8e\88~{qm¥\9c\92\88~{tm¥\9f\8e\88~{qm¥\9c\92\88~wtm¢\9c\8e\88~{tm¥\9c\8e\88~{qj¥\9f\8e\88~wtm¥\9c\8e\88~wqm¢\9f\8e\88~wqm¥\9f\92\85~{qj¥\9f\8e\88~{qm¢\9c\8e\88~wqm\88~tmg`ZSMI?62/,("\e\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\15\ e\a\ 4\ 1\096/("\1f\e\15PIC96/,(`ZPIC<62j`ZPIC?<md]SPFC<mj]VPIF<mg`VPIC?qj`ZSMFCtjd]SPICwmg]ZPMF{qj`]SPI~tjd]ZPP\81wqg`ZSP\85{tjd]VP\85~tjg]ZS\88~wmj`]V\88\81wqj`]V\8b\85{qjg]Z\8b\85{qmg`]\8e\85~tmj`]\92\85~wmjd]\92\88\81wqjd`\95\8b\85wqjg`\95\8b\85{qjg`\95\8b\85{tjg`\98\8b\85{tmj`\98\8e\85{tmjd\9c\8e\85~tmjd\9c\8e\85~tqjd\9c\92\88~wqjg\9c\8e\88~wqjg\9c\92\88~wqjg\9f\92\88\81{qjg\9f\92\88\81{qjg\9f\95\8b\81{qmg\9f\92\88\85{tmj\9f\92\8b\85{tjj\9f\95\8b\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj\9f\95\8e\85{tmj\9f\95\8b\85{tmj¢\95\8e\85{tmj\9f\95\8e\85~tmj\9f\98\8e\85~wqj\9f\95\8e\85~tqj\9f\95\92\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wtj¢\9c\8e\85~wqj¢\98\92\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqmÝÓƼ¶¬©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîçÝ×þôëÝÓÍƼîáÓÊù³¬Ý×ÊÀ¹³©¢ÚÐƹ¯©¢\9f×ÍÀ¹¯©\9f\9cÓÍÀ¹¬¥\9f\9cÓÍÀ¹¬¥\9f\98Óʼ¶¬¥\9f\95Óʼ¶©¢\9f\95Íƹ³©\9f\98\92Íù¬¢\9f\95\8eÆÀ³¬\9f\9f\92\8eƼ¯©\9f\98\8e\88ù¬¢\9c\95\8e\85À¹¬¢\95\92\8b\85¼³©\9f\95\8e\85\81¹³¥\9f\92\8b\88\81¹¯¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¹¯¢\98\8e\88\85~¶¬\9f\95\8e\88\81{¶¬\9f\95\8b\85~{³¬\9f\98\8b\85\81w³©\9f\92\8b\85~w¯©\9c\92\8b\85~t¯¥\98\92\88\85{t¯¥\98\8e\88\81{w¯¢\95\8e\85\81{t¬¥\95\8e\85\81{t¬¢\95\8e\85\81{q¬¢\98\8e\85~wq¬\9f\95\8e\85~tt©¢\95\8e\85~wq©\9f\92\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85{tq©\9f\92\88\81{tq¥\9f\92\8b\81{tq¥\9f\8e\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88~{qm¥\9f\8e\88\81{tj¥\9c\8e\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88~{qm¥\9f\8e\85~wtm¥\9c\92\88~wtj¢\9c\8e\85~wqj¢\9f\8e\85~wqj¥\9f\8e\88~wqj¢\9c\8e\88~wqj¢\9c\8e\88~{qj\85\81tmg]ZSPF<6//,(\1f\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\11\ e\a\ 4\ 1\092,("\1f\e\15SI?96//,`ZPIC<96j`VPIC?<md]SPIC?mg]VPMF?mj]VPMICqj`ZPPICtjd]SPMCwmg]VPPFwqj`ZSPM~tjg]VSP\81wmj`ZVP\85wmjd]ZP\85~tmg`]V\85~wmgd]V\88\81wqjd]V\8b\85wqjd`Z\8b\85{qjg`Z\8e\85{tmg`]\8e\85~wmgd]\92\88~tmjd]\92\8b\81wqjd]\92\8b\81wqjg`\95\8b\85{qmg`\95\8e\85{tmj`ÓÊÀ¶¬¥\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿþñëÿÿûôëá×ÐûîäÚÐÆùçÝÐƼ¶¯¥ÚÓù¯¬¢\9fÓÊÀ¶¬¥\9f\98ÓƼ¶©¢\9c\92Ðʼ³©¢\9c\95Óƹ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ³¥\9f\98\92Íù¬¥\9f\95\92ÊÀ¶¬\9f\9c\92\8eƼ³©\9f\98\8e\8bù¯¢\9c\95\8b\88À¶¬¢\98\92\88\85¼¶©¢\98\8e\88\85¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9f\92\8b\85~¶¬¢\9c\8e\88\85{¶¬\9f\95\8e\88\81~³¬\9f\98\8e\88\81{³©\9f\92\8b\85~w¯©\9f\95\88\85~w¯¥\9f\92\88\85{w¯¥\9c\92\88\81{w¬¥\9c\92\88\85{t\92\88~wmj`]SPF?96/,("\e\11\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\1f\15\ e\v\ 4\ 4?92/("\1f\eSIC<62/,`ZPIC<66j]VPIC<9j`ZSMFC<jd]SPFC<mg]VPIC?mj`ZPMF?tjdZSPICtmg]VPMF{qj]ZSPI{tjg]VPM\81wmg]ZSP\85wqjd]VS\85~tjg]ZS\88~tmg`]S\88\81wmjd]V\8b\81wqjd]Z\8b\85{qjg`Z\8e\85{tmj`]\8e\85~tmj`]\8e\85~wqjd]\92\88~wmjd]\92\8b\81wqmg`\95\8b\81wtmg`\95\8b\85{tmj`\95\8e\85{tmj`\95\8e\85{tmjd\95\8e\85~tqjd\9c\8e\85~tmjg\9c\92\88\81wqjg\9f\92\88~wqmj\9f\92\88\81wqmg\9f\92\8b\81{tjg\9f\95\88\81{qmg\9f\92\88\81{tmj\9f\92\8b\85{tmg\9f\95\88\85{tmg\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8e\85{tmj\9f\98\8e\85{tmj¢\98\8e\85{wmj¢\98\8b\85{wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\95\8e\85~wmj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqjá×ÆÀ¶¯¥¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿøîçÝ×þôëáÓÊƼîáÓÍù³¬á×Êù¯©¢ÚÐù¯©¢\9fÓÊÀ¹¬©\9f\98Óʼ¹¬¥\9f\98Óʼ¶¬¥\9f\95Óʼ¶©¢\9f\98Ðƹ³©¢\9c\92Íƹ³¥\9f\9c\92Êö¬¢\9f\95\8eÆÀ³¬\9f\9c\92\8eƼ¯©\9f\98\8e\88ù¬¥\9c\92\8b\85À¶©¢\95\92\8b\85¼¶¥\9f\95\92\88\85¹³¥\9f\95\8e\85\81¹¯¥\9f\95\8b\85~¹¯¢\9c\92\8b\85{¶¬¢\9c\8e\8b\81{¶¬\9f\9c\8e\88\81{³¬\9f\98\8b\85\81{¯©\9f\95\8b\85~w¯©\9c\92\88\85~w¯©\9c\92\88\85{w¯¥\9c\92\88\85{w\92\88~wmjd]SPC?96/,("\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\11\ e\a\ 4?92/("\1f\eSMC?66/,`ZPIC<96j`VPIF?9jd]SPIC<mg]SPIF?mg]VPMICqj`ZSPFCtjd]SPMCwmg]VSPF{qjd]SPM{tjd]ZSP\81wmj]]VP\85{qj`]VS\85~tjg`ZS\88\81tmj`]V\88\81wmj`]Z\8b\85{qjd]Z\8e\85{tjg`Z\8e\85{tmg`]\92\85{tmjd]\92\88~wmjd]\92\88~wmjg]\92\88~wqjg`\95\8b\85wqmg`\95\8b\85{qmj`Óʼ¶¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôëá×Ðûîä×ÍÆÀ¹çÚÓƼ¶¯¥ÚÐƹ³©¢\9cÓʼ¶¬¥\9f\95ÐƼ³©¢\9c\92Óƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ¯¥\9f\98\92Êù¬¢\9f\95\8bÆÀ¶¬¢\9c\92\88ƹ¯¥\9f\95\92\88ù¬¢\9c\92\8e\85À¶©¢\98\92\88\85¼³©\9f\95\8e\88\85¼¯¥\9f\95\8e\88\81¹¯¥\9c\92\8e\85~¹¯¥\98\92\88\85~¶¬\9f\95\8e\88\81{¶©\9f\95\8e\88\81{³©\9f\95\8b\85\81{³©\9f\92\8b\85~w¯¥\9f\92\88\85~t¯¥\9f\92\88\85{w¯¢\9c\92\88\81{t¯¢\9c\92\88\85{t\92\88~tmj`]SPF?96/,(\1f\18\11\ e\a\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4?92,("\1f\18SMC<62/,`ZPFC<62j]ZPIC?9jd]SPFC<mg]VPFC?mg]VPIC?qj`VPMFCtj`]SPICtmg]VPMF{qj`ZSPI~tjd]VPM\81wmg]ZSP\85{qjdZSP\85{qjd]VS\88~tjg`ZS\88\81wqgd]V\8b\85wqjd]Z\8e\85{qjg]Z\8e\85{tjj`]\8e\85~tmj`]\92\88~tqjd]\92\88\81wqjg`\92\88\81wqjg`\95\8b\85{tjg`\98\8e\85{tmj`ÓƼ¶¬¢\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿøÿÿÿÿþøîçÿÿûñçáÓÐøîá×ÍƼ¹äÚÐü¶¬¥ÚÐù¯©¢\9fÓʼ¶¬¢\9f\95ÓƼ³¬¢\9c\92ÓƼ³©¢\9c\92ÓƼ¯©¢\9c\92Ðƹ¯©\9f\98\92Íù¯¥\9f\95\92ÍÀ¹¬¥\9f\92\8eƼ¶©¢\9c\92\8bƼ¯¥\9f\95\92\88ù¬¥\9c\92\8b\85¼¶©\9f\95\92\8b\85¼³©\9f\98\8e\88\81¼¯¥\9f\95\8b\85\81¹¯¥\9c\92\88\85~¹¬¢\9c\92\88\85{¹¬¢\98\8e\88\85{¶¬\9f\95\8e\85\81{³©\9f\95\8e\85~w³©\9f\95\8b\85~w³¥\9f\95\88\85~w¯¥\9c\92\88\85~t¯¥\9f\92\88\81{t¬¥\98\8e\88\81{t\92\85~tmj`ZSPF<96/,(\1f\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0,"\1f\15\11\ e\a\ 4?92,(%\1f\1fSMC<62/,`ZPIC<96j]ZPIF?9jdZSPIC<mg]VPIC?mg]VPMF?qj]ZSMICtj`ZSPICwmg]ZPMFwqj`ZVPI{tjd]VPM\81wmg`ZSP\85wqjd]VP\85{qjd`ZS\85~tjg`]S\88\81wmj`]V\8b\81wmjd]V\8b\85{qjg`Z\8e\85{tjg`Z\8e\85~tmj`]\92\85~wmj`]\92\88~tqjg]\92\88\81wqjg]\92\8b\81{qjg`\95\8b\81{qjg`Óʼ¶¬¥\9f\95ÿÿÿÿÿÿÿøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûñëá×Ðûîá×ÐÆÀ¹äÚÐƼ¶¬¥ÚÐù³©¢\9cÓƼ¶¬¥\9f\95Óƹ¯©\9f\9f\92Óƹ¯©\9f\9f\92Óƹ³©¢\9c\92Ðƹ³©\9f\98\92Íù¯©\9f\98\92ÊÀ¶¬¥\9f\95\8eƼ³¬\9f\9c\92\8bƹ¯¥\9f\98\92\88À¹¬¢\9c\92\8b\85¼¶©\9f\9c\8e\8b\85¹¯©\9f\95\8e\88\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¹¬¢\98\8e\88\85{¶¬\9f\98\92\88\85{¶©\9f\95\92\85\81{³©\9f\95\8e\85\81w³©\9f\92\8b\85~w³©\9f\92\8b\85~w¯¥\9c\92\88\85~t¯¥\9c\92\88\85{t¯¢\98\8e\88\85{q¯¢\98\8e\88~{q¬¢\98\8e\85\81wq¬\9f\95\8e\85~wq¬\9f\95\8b\85~wq©\9f\92\8b\85{wq©\9f\92\8b\85{wm©\9f\92\8b\85{wm©\9f\92\8b\85{wm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tj¥\9c\92\88\81wtj¢\9c\92\88\81{tm¥\9c\8e\85~wtj¥\9c\92\85\81wqm¥\9c\92\85~{qm¥\9c\8e\88~{qj¢\9c\8e\85~wtj¢\9c\92\85~wtj¥\98\8e\85~wtm¢\9c\8e\85~wtj¥\9c\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqj¥\9c\8e\85~wqj¢\9c\8e\85~{tj\88~tjg]ZSPF?62/(%\1f\18\15\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\15\ e\a\ 4\ 1\092,("\1f\18\15PF?96/,(]VPF?<62j`ZPIF?9j`ZSPFC<mg]SPIC?mg]VPMF?qj]ZPMFCtj`ZSPICtjd]VPMF{qj`ZSPI{qj`]VPM~wmg]ZSP\85{mj`]VP\85{tjd]ZS\85~tjg]ZV\88~wmg`]V\88\85wqjd]V\8b\81{qjg`Z\8e\85{qjg`Z\8e\85{tmjd]\8e\88~tmjd]\92\88\81wqjg]\92\88\81wqjg`\92\88\81{tmg`\92\8b\85{tmj`\95\8b\85{tmj`\98\8e\85{tmjd\98\8e\85{wmj`\9c\8e\85~tqjg\9c\8e\85~wqjg\98\92\85~wqjd\9c\92\88~wqjg\9c\92\88\81wqmg\9c\92\88\81wtjg\9f\92\88\81wqmg\9f\92\88\81{tjg\9f\92\88\81{qmj\9f\92\88\85{tmj\9f\95\8b\85{tmj\9f\92\88\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85~tqj\9f\95\8b\85{wqj\9f\95\8b\85{tmj\9f\95\8b\85~tqj\9f\95\8b\85{wmj¢\95\8e\85{wmj\9f\98\8e\85~wmj\9f\98\8e\85~wqj\9f\98\8e\85~wqj¢\95\8e\85~wqj\9f\98\8e\85{wqj\9f\95\8b\88~wqj¢\95\8e\85~wqj¢\98\8e\85~wqjÝÓƼ¶¯¥¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîçÝÓþñçÝÓÊüëáÓÊù³¬áÓƼ¶¯¥\9f×Íù¯©¢\9cÓÊÀ¶¬¥\9f\98Óʼ¶¬¥\9f\95ÓÊÀ¶¬¢\9f\95ÓƼ³¬¢\9f\95Óƹ³©¢\9c\92Ðù¯©\9f\98\92ÊÀ¹¬¥\9f\95\8eƼ³©¢\9c\92\8bƹ¯¥\9f\95\8e\88ù¯¢\9c\92\8e\85À¶¬\9f\98\92\88\85¼³©\9f\95\8e\88\81¹¯¥\9c\92\8e\85~¹¯¥\9c\92\8b\85~¹¬¢\98\92\88\85{¶¬\9f\95\8e\88\81{¶¬\9f\95\8e\88\81{³©\9f\95\8b\85\81w³©\9f\92\88\85~w¯¥\9f\92\88\85{t¯¥\9c\92\88\85{w¯¥\9c\92\88\85{t¯¥\9c\8e\88\85{t¬¢\98\8e\88\81wq¬¢\98\8e\85~{t¬¢\95\8e\85~wq©\9f\98\8b\85~wq¬\9f\95\8b\85~wq©\9f\95\88\85~wq©\9f\92\88\85{tq©\9f\95\8b\85{tm©\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm©\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\85\81{tm¥\9c\92\85\81wtm¥\9f\92\88\81wtm¢\98\92\85~{tm¥\9c\8e\88\81wqm¥\9c\8e\88~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wqj¥\9c\8e\85~wqj¢\9c\8e\88\81wqj¢\98\8e\85~wqj¥\9c\8e\85~wqj¢\9c\8e\85\81wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj\85~tmg]ZSPF<62/(%\1f\18\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\ e\a\ 4\ 1\092,("\1f\18\15PI?96/,(`VPF?<96j`ZPIF?9jdZSMFC<mg]SPIC?mg]SPMF?mj]ZPMF?qj`]SPICtmd]VPPF{qg`ZSPI~tjd]VPM~wmg`ZSP\81wqj`]ZP\85{tjd`ZS\85~tmg`]S\88~wmjd]V\88\81wqjd`Z\8b\85{qjg`Z\8b\85{qjg`]\8e\85{tmj`]\8e\85~wmjg]\92\88~wqjg]\92\88~wqjg`\92\88\81wqjg`\98\8b\81wqmg`ÓÆÀ³¬¥\9f\9cÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþñçá×Ðøîá×ÐƼ¶äÚÍƼ¹¬¥ÚÐù¯©¢\9fÓƼ³¬¢\9f\98Óƹ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ¯¥¢\98\92Íù¯¥\9f\95\92ÊÀ¶¬¢\9f\92\8eƼ³©\9f\9c\92\8bù¯¥\9f\98\8e\88ù¬¥\9c\92\8b\85¼¶©¢\98\92\88\85¹³¥\9f\92\8e\88\81¹³¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¶¬\9f\98\8e\88\81{¶¬\9f\98\8e\88\81{³¬\9f\95\8b\85\81{³©\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{w¬¥\9c\8e\88\81{t¬¢\98\8e\85\81{t\92\85~wmj`]SPF?96/,("\1f\15\11\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,"\1f\15\11\ e\a\ 4?9/,%"\1f\eSMC<6//,`VPFC<66g]VPIC<9j`ZPMFC<md]SPIC<mg]VPIC<qg]VPMF?tj`ZSMICtmg]VPIFwmj`ZSPF{tj`]VPI~tmg]ZSP\81wqj`]VP\85{qjd]ZP\85~tmg`ZS\88~wmg`]S\88\81wmjd]Z\8b\85{qjd`Z\8e\85{tjg`Z\8e\85~tmg`]\8e\85~tmj`]\92\88~wmjd]\92\88\81wqjg`\92\88\85wqjg`\92\8b\81{qjg`ÓƼ³¬¥\9f\9fÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøÿÿÿÿþûîçÿÿûñäáÓÐøîá×ÍƼ¹äÚÍƹ¶¬¥×Íù¯©¢\9fÐƹ³¬¥\9c\92Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³©\9f\9c\92Ðù¯¥\9f\98\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\92\8eƼ³©¢\9c\92\8bù¯¥\9f\98\8e\88À¹¬¢\9c\92\8b\85¼¶©¢\98\92\88\85¼³©\9f\95\8e\85\85¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¹¬¢\9c\8e\88\81~³¬¢\95\8e\88\81{³©\9f\95\8b\85\81w³©\9f\92\88\85~w¯¥\9f\92\8b\85~w¯¥\9f\92\88\85{t¯¢\9c\92\88\85~t¯¥\98\8e\88\85{t¬¢\9c\8e\85\81wt\92\85~tmg`]SMC<66/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\e\15\ e\v\a\ 4<6/,%"\1f\18SIC962/,`SPI?<96g]VPFC<9jdZSMFC<jd]SPIC?mg]VPMC?qj]ZPMF?qj`]SPIFwmd]ZPPFwqg`ZSPM~qj`]VSM~tmg`ZVP\85wmj`]VP\85{qjd`ZS\85~tjg`]V\88~wmg`]V\88\81wqjd]V\8b\81wqjd]Z\8e\85{qjg`Z\8e\85{tjj`Z\8e\85~tmg`]\92\88~wqjd]\92\88\81wqjd]\95\8b~wqjg]\95\8b\81{tmg`ÓƼ³¬¥\9f©ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûôçá×Ðøîá×ÍƼ¹äÚÍƹ¶¬¥×Ðù¯©¢\9cÓƼ³¬¥\9f\92Ðƹ³©¢\9c\92Ðƹ¯©\9f\9c\92Ðƹ¯©¢\9c\92Ðƹ¯¥\9f\98\92Íù¯¥\9f\98\8eÊÀ³¬¢\9c\92\8bƼ³©\9f\9c\92\88ƹ¯¥\9f\98\8e\88ù¬¢\98\95\8b\85¼¶©¢\98\92\88\85¹³¥\9f\95\8e\85\85¹¯¥\9f\92\8e\85~¹¯¢\9c\8e\8b\85~¹¬\9f\9c\8e\8b\81~³¬\9f\98\8e\88\81{³¬\9f\95\8b\85\81{¯©\9f\92\8b\85\81w¯¥\9c\92\88\85~w¯¥\98\92\88\85~w¬¥\98\92\88\85{t¬¢\9c\8e\88\81{t¬¢\98\8e\85\81{t\8e\85~tjj`]SMC?62/,%\1f\18\11\ e\a\a\ 1\ 4\0\0\0\0\0\0\0\11\a\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4<6/,("\1f\eSIC<6//,]ZPI?962g]SPIC?6j`ZSIFC<jdZSPIC<md]SPIC?mg]VPIF?tj`ZSPFCtjd]SPMFwqj`ZPPI{tj`]VPM~tmd]]SP\81wqj`ZSP\85{qjd]VP\85~tmg]ZS\88\81wmg`]V\88\81wmj`]Z\8b\85wqjd]Z\8e\85{qmg`Z\8e\85~tmjd]\8e\85~tmjd]\92\88~wqjg]\92\88\81wqjg`\92\88\81{tjg`\92\8b\85{qjjdÐƼ¶¬¥\9f¯ÿÿÿÿÿÿþôÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûñçá×Ðøîá×ÍƼ¹äÚÍƹ¶¬¥ÚÐù¯©\9f\9fÓƼ³¬¢\9f\92Ðƹ³¥¢\9c\92Ðƹ³©\9f\9c\92Ðƹ¯¥¢\98\92Íƹ¯¥\9f\9c\92Íù¯¥\9f\95\8eÊÀ¶¬¢\9c\92\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\95\8e\88ù¬¥\98\92\8b\85¼¶©¢\98\92\88\81¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9f\92\88\85~¶¬¢\98\92\88\81{³¬\9f\98\8e\88\81{³©\9f\95\8b\88~w³©\9f\92\8b\85~{¯©\9f\95\88\85~w¯¥\9c\92\88\85{t¯¥\9c\8e\88\85~w¬¢\98\8e\88\81{t¬¢\9c\8e\85\81{t\8e\85~tmj`ZSPF<92/,%\1f\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,"\1f\15\11\ e\a\ 4?92/(%\1f\1fSMC<66/,`ZPFC<96j`VPIC?9j`ZSMFC<jd]SPFC<mg]SPMF?mg]ZPMFCqj`ZSPFCtjd]VPIFwqg]ZSPI{tj`]ZSI~wmg]ZSP\81wqg`]VP\85{qjd]ZS\85{tjd]ZS\85\81wmj`]V\88~wqj`]Z\8b\81wmjg`Z\8b\85{qjg`Z\8e\85{tjj`]\8e\85~tmgd]\8e\88~wmjd]\92\88~wqjd`\92\88\81wqjg`\95\8b\81wqjggÓƼ¶©¢\9f¹ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîëÿÿûñçáÓÐøîá×ÍƼ¹äÚÍƹ³¬¥×Ðù¯©\9f\9cÓƼ¶¬¥\9f\95Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Íƹ¯©\9f\9c\92Íƹ¯©\9f\98\92Íö¯¥\9f\95\8eʼ³¬¢\9c\92\8eƼ¯©\9f\98\92\88ù¯¥\9f\95\8e\88À¶¬¢\9c\92\8b\85¼¶©\9f\98\92\88\85¹³¥\9f\95\8e\88\85¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¶¬¢\98\8e\88\85{¶¬\9f\98\8e\88\81{³©\9f\95\8b\85~{³©\9f\95\8b\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\85~t¯¥\9c\92\88\81{t¬¥\98\8e\85\81{t¬¢\95\8e\85\81{q\8e\85{tjg`]SPC?66/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\0(\1f\18\15\ e\v\ 4\ 4?6/(("\1f\18SMC962/(]VPF?<62g]SPFC<6j`ZPIF?9jdZSPFC<mg]SPMC?mg]VPMF?qj`ZSPICtjg]VPMFwmj`ZSPI{tjd]VPI~wmg`ZSP\81wmj`]VP\85{tjg]ZP\85~tmg`]S\85~tmj`]Z\88\81wqj`]Z\88\85wqjd`Z\8b\85{qjg`Z\8e\85~tmj`]\8e\85{tmjd]\92\85~tqjg]\92\88~wqjd`\92\8b\81wqjg`\92\88\81wqmgjÓʼ¶¬¢\9fÆÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîëÿÿûñëá×Íøîá×ÍƼ¶äÚÍù¶¬¥ÚÐù¯©¢\9cÓƼ¶©¢\98\92Ðƹ¯©¢\98\92Ðƹ¯©¢\9c\92Ðƹ¯©\9f\9c\92Ðù¯©\9f\95\92Íö¬¥\9f\98\8eÊÀ¶¬¢\9f\92\8eƼ³©\9f\9c\92\8bù¯¥\9c\95\8e\88À¶¬¢\98\92\8b\85¼¶©\9f\98\92\88\85¹³¥\9f\95\8e\85\81¹¯¢\9f\92\8b\85\81¹¬¢\9c\8e\8b\85~¶¬\9f\95\8e\88\81{³¬\9f\98\8b\88\81{³©\9f\95\8b\88\81{³©\9c\92\8b\85~w¯©\9f\92\88\85~t¯¥\9c\92\8b\85{t¯¢\9c\92\88\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81wt\8e\85{tmj`ZSPF?96/,("\e\15\11\ e\v\ 4\a\ 1\0\0\0\0\0\0\11\ e\ 4\ 4\0\0\0\0("\e\15\ e\v\a\ 4?6/,%"\1f\18PI?<62/,]VPF?<62g]VPIC<6j`ZPMFC<j`ZSPFC<md]SPIF?mg]SPMF?qj`ZPMICtjd]SPIFwqj]ZSPF{qj`]VPM~tmg]ZSP\81wmg`ZVP\85{qj`]VP\85{tjd]ZS\88~tmg`]V\88~wmj`]V\88\81wqjg]Z\8b\85{tjg]Z\8e\85{tjg`]\8e\85~tmjd]\92\88~wqjd]\92\88~wmjd]\92\8b\81wqjg`\92\88\81wqjgqÓƼ³¬¢\9fÍÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûîäÝ×ÍôëáÓÍƼ¹äÚÍƹ¶¬¢×ÊÀ¹¯©\9f\9cÓƹ³©¢\9c\95Íƹ¯©¢\9c\92Íù¯©¢\9c\92Íƹ¯¥\9f\9c\92Ðù¯¥\9f\98\92Íù¯¢\9f\98\8eƼ¶¬¢\9f\95\8bƼ³©\9f\9c\92\8bù¯¥\9f\95\8e\88À¹¬¢\9c\92\88\85¼³©¢\98\8e\88\85¹³¥\9f\92\8b\85\81¹¯¢\9f\92\8b\85~¹¬¢\9c\92\88\85~¶¬\9f\98\8e\88\81{³©\9f\95\8e\85\81{³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯©\9c\92\88\85{w¯¥\98\92\88\85~t¯¢\9c\92\88\81{t¬¢\98\8e\88\85wt¬¢\98\8e\85\81wt\8e\85~tjj]]SMC?66/,"\1f\18\11\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\e\15\ e\v\a\ 4?62,("\1f\eSIC<62/,`VPF?<66g`SPFC?9j`ZPMFC<md]SPFC<mg]SPIF?mg]ZPMF?qj`ZSPICtjg]VPMFwqg]ZSPI{tjd]VSM~tmd]ZSP\81wmj`]VP\85{qj`]ZP\85{tmd`ZV\88~wmg`]V\88\81wmj`]V\8b\81wqjd`Z\8b\85{qjg`]\8b\85{qjg`Z\8e\85{tmj`]\8e\85~wmjd]\92\85\81wmjd]\92\88~wmjd`\95\88\81{qjg{Ðƹ³©¢\9fÚÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñçÿÿûñçá×Íûîá×ÍƼ¹äÚÍü¶¬¥×Ðù¯©¢\9cÓƹ³©¢\98\98Íƹ³©¢\9c\92Ðƹ³©¢\9c\92Íù¯©\9f\98\92Íù¯¥\9f\98\92Íö¬¢\9f\95\8eƼ¶¬¢\9f\95\8eƹ¯©\9f\98\92\88ù¬¥\9f\95\8e\88À¶¬¢\95\92\8b\85¼³©\9f\98\8e\88\85¹¯¥\9f\92\8e\85\81¹¯¥\9c\92\8b\85\81¹¬¢\9c\92\88\85~¶¬\9f\98\8e\88\81{³©\9f\98\8b\85\81{³©\9f\95\8e\85~{³©\9c\92\8b\85~w¯¥\9c\92\88\85~t¯¥\9c\92\88\85{t¯¢\98\8e\88\81{t¬¢\9c\8e\85\81wq¬¢\98\8e\85\81{q\8e\85{tjg`]SMF<66/,%\1f\18\11\ e\v\ 4\ 1\ 4\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\18\11\ e\a\ 4\ 4?6/,("\1f\ePIC96//,]VPF?<62g]SPIC<9j`ZPMF?9j`ZSPF?<jd]SPIC?md]VPMF?qj`ZSMFCtjd]VPIFwmg]VSPI{qjdZVPM~tjg]ZSP\81wmj`ZVP\81{qjd]VS\85~tjg`ZS\85~tjg`]V\88\81wmj`]Z\88\85wqjg`]\8b\85{tjg`]\8b\85{tmg`]\8e\85~tmgd]\92\85~tqjd`\92\88~wqjg`\92\88\81wqjg`\92\88\81wqjj`\92\8b\81{tjgd\95\8b\85{tmjd\95\8b\85~tmjd\95\8e\85~tmjd\98\8e\85~wmjg\98\8e\85~wqjg\9c\8e\85~wqjg\9c\8e\85\81wqjg\9c\92\88~wqjg\9c\92\85~wqmj\9c\92\85~wqmj\9c\92\88~wtmj\9f\92\88\81wtjj\9f\92\88\81{tjj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\8b\81{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\92\8b\85{tmj\9f\92\88\85~tqj\9f\92\8b\85{wqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85~tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85{wmj\9f\95\8b\85~wqj\9f\95\8b\85{wq\92ÚÐƹ³¬¥îÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøîäÝÓûñçÚÓÊùçÝÓÆÀ¹¯¬ÚÐƼ¶¬¥\9fÓÊÀ¹¯©\9f\9cÓƼ¶¬¥\9f\95ÓƼ¶¬¢\9f\92ÓƼ³¬¢\9f\95ÓƼ³¬¢\9f\95Ðƹ¯©¢\9c\92Ðù¬¥\9f\95\92ÊÀ¶¬¢\9c\92\8eƹ¯¥\9f\98\8e\88ù¬¥\9f\95\8e\85À¶¬¢\98\92\8b\85À³©\9f\98\8e\88\81¹³©\9f\95\8e\88\81¹¯¢\9c\92\8b\85~¹¬¢\98\92\88\85{¶¬¢\98\8e\88\81{³¬\9f\95\8e\85\81{³©\9f\95\8e\85~w³¥\9f\92\88\85~w¯¥\9f\95\8b\85~w¯¥\9c\92\88\81{t¬¢\9c\92\88\81{q¬¢\9c\8e\88\81{t¬¢\95\8e\85\81{t¬¢\98\8e\85\81wq©\9f\92\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85~wm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\85\81{tm¢\9c\92\85\81{tm¥\9c\8e\85\81{qm¥\9c\92\88~{qj¢\9c\8e\85~wtm¢\9c\92\85\81{qm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85{wqj¢\9c\8e\85~wqj¢\98\8e\85~tqj¢\98\8b\85~wmj¢\98\8b\85~wqj¢\9c\8e\85~wmj¢\98\8e\85{wqj\9f\98\8b\85~wmj¢\98\8e\85~wqj¢\98\8e\85~wqj\85{qjd]VSMC<62/("\1f\18\11\v\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\ 192,(\1f\1f\e\ePF?96/,,]VPF?966g]VPIC<<j`ZPMFC?jg]SMIC?md]SPMCCmg]VPMF?qj`ZSPFFtjd]SPIIwmg]ZSPM{qj`ZSPP~tmg]ZSP\81wqj`]VS\85wqjd]ZV\85~tjg]ZV\85~tmj`]Z\88\81wqj`]]\88\85wqjg]]\8b\85{qjg`]\8e\85{tjg`]\8e\85{tmjd`\8e\85~tmjd`\92\88~wmjd`\92\88~wqjgd\95\8b\81{qjgd\92\8b\81wqjgg\92\8b\85{tmgg\95\8b\85{tmgg\95\8b\85{tmjg\95\8e\85{tmjg\98\8e\85~tmjj\98\8e\85~wqjj\98\8e\85~wqjj\98\8e\85~wqjj\9c\8e\85\81wqjj\9c\92\85~wqjj\9c\92\88\81wqjj\9c\92\88~wqjj\9f\92\88\81wqjj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9c\92\88\81{tmj\9f\92\88\81wtmm\9f\95\88\81{tmj\9f\95\88\85{tmm\9f\92\88\85{tmj\9f\92\8b\85{wmm\9f\92\88\85~tqm\9f\95\88\85{tqm\9f\95\8b\85{tqm\9f\95\88\85{tqm\9f\95\8b\85~wqm\9f\95\8b\85{wqm\9f\95\8b\85~wmm\9f\95\8b\85{tqm\9f\95\8b\85~wq¢ÚÐƹ³¬¢þÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäÚÓþñçÝÓÊƹîÝÓÆù³¬áÓƼ¶¯¥\9f×ÍÀ¹¯©\9f\9cÓʼ¶¯¥\9f\98ÓƼ¶¬¥\9f\98ÓƼ³¬¢\9f\95Óƹ³¬¢\9f\92Ðƹ³©¢\98\92Íö¬¥\9f\98\8eƼ³©¢\98\92\8eƹ¯¥\9f\98\8e\88ù¬¥\9f\95\8e\85¼¶¬\9f\98\92\8b\85¼³©\9f\95\8e\88\85¹¯¥\9f\92\8b\85\81¹¯¢\9c\92\8b\85~¹¬¢\98\8e\88\85~¹¬\9f\98\8e\88\85{¶¬\9f\95\8e\85\81w³©\9f\95\8b\85\81w¯¥\9c\92\88\85~w¯¥\9c\92\88\85~t¯¢\9c\92\88\81{t¬¢\98\8e\88\81{t¬¢\95\8e\85\81{t\8e\85{tjj`ZSMF?96/,%"\e\15\ e\ e\v\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\a\ 4\0\0\0\0("\1f\18\11\ e\v\15?92/,%\1f%SMC<66/2`VPIC<99j]VPIC?<j`ZPMFC?jdZSPFCCjg]SPICCmg]VPMFFqj`ZSPIItjd]SPIMwmg]ZSPP{qj`]VPP~wjd]ZSS\81wmg`ZVV\85{qjd]VZ\85~tjg`Z]\88~tjg`Z]\88\81wmjd]]\88\81wqjd]]\8b\81wtjg``\8e\85{tjg``\8e\85{tmg`d\8e\85{tmjdd\92\85~tmj`d\92\88~wqjdg\92\88\81wqjg¢ÓƼ³©¢\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûîçÿÿûîäÝÓÍôëÝÓÊƹ¶áÚÍù³¬¥ÓÊù¯©\9f\9cÐƹ¯©¢\9f\95Íù¯©\9f\98\92Íƹ¯¥\9f\98\92Íù¯¥\9f\98\92Êù¯¥\9f\95\92ÊÀ¶¬¢\9f\92\8eƼ³©¢\98\92\8bƹ¯¥\9f\95\8e\8bù¬¢\9f\92\8e\85¼¶©¢\98\92\8b\85¼³©\9f\95\8e\88\85¹³¥\9f\95\8e\85\81¹¬¢\9c\92\8b\85\81¹¬¢\9c\8e\88\85{³¬\9f\98\8e\88\81{³©\9f\95\8b\88\81{³©\9f\92\8b\85~w³¥\9f\92\88\85{w¬¥\9c\92\88\85~w¯¥\9c\8e\88\81{w¬¢\95\8e\85\85{t¬\9f\98\8e\85\81wt©¢\95\8b\85~wq\8e\85{qjg`ZSMC<62/(%\1f\15\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\0(\1f\18\11\ e\a\ 4\15<62,("\1f,PI?962/6]VPF?<69d]SPFC<?j`VPIF?Cj`ZPPF?CjdZSMICCmg]VPICIqg`ZSPFMtjd]SPMPwmg]VSPP{qj`]VPS~tjg]ZSZ\81wmg`]VZ\81{qjd]Z]\85~qjg`Z]\85~tmj`]`\88\81tmjd]`\88\81wqjg]d\88\81{qjd`g\8b\85{qjg`g\8b\85{tmj`j\92\85~tmjdj\92\85~tmjgj\92\88~wmjgj\92\8b~wqjg¬Óƹ³¬¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûñçÝÓÍøîá×Êƹ¶áÚÊù³¬¥×ÍÀ¹¯©\9f\9fÐƹ¯©¢\9f\95Íù¯¥\9f\9c\95Ðù¯©\9f\98\95Íù¯©\9f\98\92Íù¯¥\9f\98\95ÍÀ¶¬¥\9c\95\92Ƽ³©¢\9f\92\8eƹ¯¥\9f\98\8e\8bù¬¥\9f\95\8e\88¼¶©¢\98\92\8b\85¼³¥\9f\95\8e\88\85¹¯¢\9f\92\8b\85\85¹¯¢\9c\92\88\85\81¶¬\9f\98\8e\88\85~³©\9f\95\8e\88\85~³©\9f\92\8b\85~{³©\9f\92\8b\85~{¯¥\9f\92\8b\85~w¯¥\9c\92\88\85~w¬¢\9c\92\88\85{w¬¢\98\8e\88\81{w¬¢\95\8b\85\81wt¬\9f\95\8e\85~wq\8b\85{tjj`ZSPC<62/,%\1f\18\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\ 4%\1f\18\15\ e\v\ 4\1f<6/,%\1f\1f/PI?962/9]SMF?96?d]SPFC<Cj`VPIF?Fj`ZSMFCFj`]SPICImg]VPIFMmj`VPPFPtmd]SPISwmg]VPPV{qj`ZVPZ~tjd]VP]\81wmj]ZS]\81{mj`]V`\85{qjd]Z`\85~tjg`Zg\88~tmjd]g\88\81wmjd]g\88\81wqjd`j\8b\81{qjg`j\8e\85{tjj`m\92\85{tmj`m\8e\85~wmjgm\92\88~wmjgm\92\88\81wqmg¶Óƹ³©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿøîäÝÓÊñëÝÓÊù¶á×Êù³©¥×ÊÀ¹¯©\9f\9fÐƹ³©¢\98\98Íù¯©\9f\98\9cÍù¯¥\9f\95\98Íù¯©\9f\98\95Íù¯¥\9f\98\95ÍÀ¶¬¥\9f\95\92Ƽ³©¢\9c\92\92ƹ³©\9f\98\92\8eù¬¥\9c\95\8e\88À¶©¢\95\92\8b\88¹¯¥\9f\95\8e\88\85¹¯¢\9c\92\8e\85\85¹¬¢\9c\92\8b\85\85¶¬\9f\98\8e\88\85\81¶©\9f\95\8e\88\81~³©\9f\95\8b\85~{³©\9f\92\8b\85~{¯¥\9c\92\8b\85~{¯¥\9c\92\88\85~{¯¢\9c\8e\88\81{w¬¢\98\8e\88\81{w¬¢\95\8e\85\81{w¬¢\95\8b\85\81wt\8b\85{qjg]ZSMC<62/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\a\ 4\0\0\0\0\v(\1f\18\15\ e\v\a(<6/,%"\1f6SIC962,C]SPFC<6Fg]SPIC?Ij`VPMFCPjdZSMICPjd]SPICPmj]VPMFPqj`ZSPIStjd]SPIZwmg]VSP]{tj`ZVS]~tjd]ZS`~wmg`ZSg\81{mjd]Vg\85{qjd]Zj\85~tjg`Zj\88~wmj`]j\88\81wqjd]m\8b\81wqjg]q\8b\85{tjg`q\8b\85{qmj`t\8e\85{tmj`t\8e\85~tmjgt\92\88~tqjdw\92\88\81wqjgÀÓƼ³©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿþûîëÿÿûîçÝ×ÐøëáÓÊü¹áÓÍù³¬©ÓÍÀ¹¬©\9f¢Ðƹ³©¢\9c\9fÍù¯©\9f\98\9fÍù¯©\9f\98\9cÍù¯¥\9f\95\9cÍÀ¹¬¥\9f\98\9cʼ¶¬¢\9c\95\95Ƽ³©¢\9c\95\95ù¯¥\9f\9c\8e\92ù¬¥\9f\95\8e\8e¼³©\9f\98\92\8b\8b¹³¥\9f\92\92\88\88¹¯¢\9f\92\8b\85\88¶¬¢\9c\8e\8b\85\85³©\9f\98\8e\88\85\85¶©\9f\98\8e\88\81\81³©\9f\92\8b\85~\81¯¥\9f\92\8b\85~~¯¥\9f\92\8b\85~~¯¥\9c\92\88\85{{¬¢\9c\8e\88\85{{¬¢\98\8e\85\81{{¬¢\95\8e\85\81w{¬¢\98\8e\85~wt\8b\85{tjg`ZPMC<62/,"\1f\18\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\11%\1f\18\11\ e\a\ 4,<6/,%\1f\1f<PI?96//F]VPF?96Md]SPF?<Pj`VPIF?Pj`ZSMFCSjdZSPI?Smg]VPIFZqj]ZPPF]tjdZSPI`wmg]VSM`wqj`]VPg~tjg]ZSj\81wmg`ZSj\81wmj`]Vm\85{qjg`Zm\85~tjg`Zq\88~tmj`]t\88\81wmjd]t\88\81wqjg`w\8b\85{qjg`w\8b\85{tmj`w\8e\85~tmjd{\92\85~tqjd{\92\88~wqjg{\92\88\81wqjgÆÐƹ³©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿþøîîÿÿûîçÝÓÐôîá×Êƹ¹á×Êù³¬¬×ÍÀ¹¬©\9f¥Óƹ³©¢\9c¢Ðù¯¥\9f\98¢Íù¯¥\9f\98\9fÍù¯©\9f\98\9fÊÀ¹¬¥\9f\98\9fÊÀ¶¬¢\9c\98\9fƼ³©¢\9c\92\8b©\9f\92\8b\85~wqj`ZPMF?<6/("\1f\e\15\11\18\11\v\ 4\ 1\ 1\0\ e%\1f\15\ e\v\a\ 4(6/("\1f\e\15<MC<6//(P]VPF?96Vj]ZPIC?]mg]VPIF]qj`ZSPI]qj`]SPI`tjd]VPI`wmd]VSPgwmg`ZSPg{qj`]SPj~tjd]ZSm\81wmj`]Vq\85{qjd]Zt\85{qjg`Zt\88~tmg`]w\88~wmjd]w\88\81wqjg]{\8b\85wqjg`{\8e\85{qjg`{\8b\85{tjjd~\8e\85~tmjd\81\92\85~tmjd\85\92\85~wmjd\85\92\88\81wqjg\85\92\8b\81{qjg\85\95\8b\81wqmgÍÓƼ³¬¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûîîÿÿûñçáÓÓøîáÓÍƼ¼ä×Íù¶¬¯×ÍÀ¹¯©¢©Óƹ³¬¢\9f©Ðù³©¢\9c©Íù¯©\9f\98¥Ðù¯©\9f\98¥Êù¬©¢\98¥ÊÀ¹¬¥\9f\95¢Æ¼³©\9f\9c\95\9fƹ¯¥\9f\98\8e\9fù¬¥\9f\95\8e\98¼¶©¢\9c\95\8b\95¹³©\9f\98\92\88\92¹¯¢\9f\92\8e\85\8e¹¬¢\9c\92\8b\85\8e¶¬\9f\95\8e\88\81\8b³©\9f\95\8e\88\85\8b³©\9f\92\8e\85\81\88³©\9f\92\8b\85\81\88³¥\9f\92\88\85~\85¯¥\9c\92\88\85~\85¬¥\9c\8e\88\81{\85¬¢\95\8e\88\81{\85¬\9f\98\8e\85~{\85¬\9f\95\8b\85~w\81©\9f\95\8b\85~w\81©\9f\95\8b\85~w\81©\9f\92\88\85{w\81¥\9f\92\88\85~t\81¥\9c\92\88\81{t~¥\9f\92\88\81{t~¥\9c\92\88\81{t~¥\9f\92\85\81wt{¥\9c\92\88\81{t~¥\9c\8e\85~{q~¢\9c\8e\85~wt{¢\98\8e\85~{t{¢\98\8e\85\81wq{¢\98\8e\85~wq{¢\98\8e\85~wq~¢\98\8e\85~wq{¢\98\8e\85~wq{¢\98\8e\85~wq{¢\98\8e\85~wq{¢\95\8b\85{wq{¢\98\8b\85~wm{¢\98\8b\85{wq{\9f\98\8b\85{wm{\9f\98\8b\85{wm{\9f\95\8e\85{wq{¢\95\8b\85{tq{¢\98\8b\85{tq{\9f\95\8b\85{wm{\9f\95\8b\85{tq{\9f\95\8b\85{wm{\9f\95\8b\85~tq{\9f\95\8b\85{wm{\9f\95\8b\85~wm{\9f\95\8b\85{tq{\9f\98\8b\85{wm{\9f\95\8b\85{tm{\9f\95\8b\85{wmw\9f\95\8b\85{wm{\9f\95\88\85{tm{\9f\95\8b\85{wm{\9f\98\88\85{tm{\9f\92\8b\85{tm{\9f\95\8b\85{tm{\9f\95\8b\85{tm{\9f\95\8b\85{tm{¢\95\8b\85{wq{\9f\95\8b\85{tm{\9f\95\8b\85{wm{\9f\95\8b\85{tm{\9f\92\88\85{tm{\9f\95\8b\85~tm{\9f\95\88\85{tm{\9f\95\88\85{tm{\9f\95\8b\85{tm{\9f\95\8b\85{tm{\9f\95\8b\85{tm{\9f\95\88\85{tm{\9f\95\8b\85wtm{\9f\95\88\85wtm{\9f\95\8b\85wtj{\9f\95\88\85{tm{\9f\92\88\85{tm{\9f\95\8b\85{tm~\9f\95\8b\85{tm~\9f\95\8e\85{wm~¢\98\8b\85~wm~\9f\98\8b\85{wm\81¢\98\8e\85~wq\81¢\9c\8b\85~wq\81¢\98\8e\85~wq\81¢\98\8e\85~wq\81¢\98\8e\85~wq\81¢\98\8b\85~wq~¢\98\8e\85{wq\81¢\98\8b\85~wq\81¢\98\8e\85~wm~¢\9c\8e\85~wq\81¢\98\8e\85~wq\81¢\98\8b\85~wq\81¢\98\8b\85~wm\81¢\95\8b\85~wm~¢\95\8b\85{wm\81\9f\98\8b\85{wq\85\9f\98\8e\85~wq\81¢\98\8e\85~wq\85¢\98\8b\85~wq\85¢\98\8b\85~wm\81¢\95\8b\85~wq\81¢\95\8b\85{tm\85¢\98\8b\85~wq\81¢\98\8b\85{wq\85¢\98\8b\85~wq\85¢\95\8b\85{wq\85¢\95\8e\85~wq\85\9f\98\8e\85~wq\85¢\98\8b\85{wqm\85{qjd`ZSMC<62/(%\1f\18\11\ e\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\1f\1f\15\11\v\ 4\ 1\096/("\1f\e\18SPF<96/,]]SPI?<6gg]VPIC?jj`ZSMFCjmdZSPIFjmd]VPIFmmg]ZPPFmqj`ZSPIqtj`]SSMtwmg]VSPw{qj`]VP{{tjg]ZS~~wmg`]V\81\85{qjd]Z\85\85{qjd`Z\85\85{tmg`Z\85\88~wmjd]\88\8b\81wmjd]\88\8b\81wqjg`\8e\8b\85{qjj`\8e\8e\85{tjj`\92\8e\85{tmj`\92\8e\88~tqjd\95\92\88~wmjd\95\92\88~wqjg\95\92\88\81wqjg\95\92\88\81{qmg\98\92\88\81{tmj\98\95\8b\85{tmj\98\98\8b\85{tmj\9c\95\8e\85~tmj\9c\98\8e\85{wqj\9c\98\8e\85{tqj\9c\98\8e\85~wqj\9f\98\8e\85~wqj\9f\9c\8e\85~wqj\9f\98\8e\85~wqj\9f\9c\8e\85\81wtj\9f\9c\92\85~wqj\9f\9c\8e\88~wtm\9f\9c\92\88\81wqj\9f\9c\92\88\81wtm\9f\9c\95\88\81{tj\9f\9f\95\88\81{tm\9f\9f\92\8b\81wtm\9f\9c\92\88\81{tm\9f\9f\92\88\85{tm\9f\9c\92\88\85{tm\9f\9f\92\88\81{tm\9f\9f\95\8b\85{tm\9f\9f\92\88\81{tm\9f\9f\92\88\85{tm¢\9f\92\88\85{wm¢\9f\95\88\85{wm¢\9f\95\8b\85{wm\9f\9f\92\88\85{tm¢\9f\92\8b\85{tm\9f\9f\92\8b\81{tm\9f\9f\92\88\85{tq¢\9f\95\8b\85{tq¢\9f\92\88\85{wm¢\9f\92\8b\85{tm¢\9f\95\8b\85{tq¢\9f\95\8b\85{tm¢\9f\92\8b\85{tq¢\9f\95\88\81{wm¢\9f\92\8b\85{tq¥\9f\95\8b\85{tq¢\9f\95\8b\85~wm¢\9f\95\8b\85{tq¥\9f\95\88\85{wm¢\9f\95\8b\85{wm¥\9f\92\8b\85~wq¥\9f\95\8b\85{wm¥\9f\95\88\85{wm¥\9f\92\8e\85{wq¥\9f\95\8b\85~tq¥\9f\92\8b\85{wq¥\9f\95\8b\85~wm¢\9f\92\8b\85{wq¥\9f\92\8b\85{tm¥\9f\95\8b\85{wm¢\9f\95\8b\85{wm¥\9f\95\8b\85~wq¥\9f\95\8b\85{tm¥\9f\95\8b\85{wq¥\9f\95\8b\85{tq¢\9f\92\8b\85{wq¢\9f\95\8b\85{tm¢\9f\98\8b\85{wq¥\9f\92\8b\85{wq¥\9f\92\88\85{tm¥\9f\95\8b\85{wq¢\9f\95\8b\85~wq¥\9f\95\8b\85{wq¥\9f\92\8b\85{wq¥\9f\95\8b\85~tm¥\9f\92\8b\85~wq¥\9f\95\8b\85~wq©\9f\95\8b\85{wq©\9f\95\8e\85{wq©\9f\92\8b\85~wq¥\9f\95\8b\85{tq©\9f\98\8b\85{wm©\9f\95\8b\85{wq©\9f\95\8b\85{wm¥\9f\95\8b\85~wq©\9f\95\8b\85{wq©\9f\95\8b\85~wq¥\9f\92\8b\85~wq©\9f\98\8b\85{tq©\9f\95\88\85{wq©\9f\95\8b\85~tm©\9f\95\8b\85{wq©\9f\92\8b\85~wm©\9f\95\8b\85~tq©\9f\95\88\85~wm©\9f\95\8b\85~wq©\9f\92\8b\81~wq¥\9f\95\8b\85{tq¥\9f\95\8b\85{wq©\9f\95\8e\85~wq¯¥\9f\92\8b\85~w¯©\9f\95\8b\85~w\9f\9f\95\8b\85{wm\8e\92\88~wqjg\81\85~tjg`]{~wmg]ZSw{tjd]VS{{qj`]VS\81\81wmg`]V\85\85{qjg]Z\88\85{wmg`]\8b\88\81wqjd]\8e\8b\85{qjg`\8e\8b\85{tmgd\92\8e\85{qmj`\92\92\85~tmjd\95\92\88~wmjg\95\92\88~wqjg\95\92\88~wqjg\95\95\8b\81wqjg\98\92\8b\81wqjg\9c\92\8b\81{qmj\9c\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85~tqj\9f\98\8e\85~tqj\9f\9c\8e\85{wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj\9f\9c\8e\85~wqj\9f\9c\92\85~wqj\9f\9c\92\85~wqj\9f\9c\92\88~wqj¢\9c\92\85\81wtm\9f\9c\92\88\81wtm¢\9c\92\88\81wtj¢\9f\92\88\81wtm¢\9c\92\88\81{tm¢\9f\92\88\81{tm¢\9f\92\88\81wtm¢\9f\92\88\81{tm\9f\9f\92\88\85{tm¢\9f\92\88\81{tm¢\9f\92\8b\85{tm¢\9f\92\88\85{tm¢\9f\92\8b\85{tq¢\9f\92\88\85{tq¢\9f\92\88\85{tm¥\9f\95\88\85{wq¥\9f\92\8b\81{tm¢\9f\95\88\85~wm¢\9f\95\88\85{wq¢\9f\95\8b\85{tm¥\9f\92\8b\81{tm¥\9f\92\88\85{wq¥\9f\92\8b\85{tq¥\9f\95\8b\85~wm¥\9f\95\8b\85~tm¥\9f\92\88\85{tq¥\9f\95\8b\85{tm¥\9f\92\8b\85{tm¥\9f\95\88\85{wm©\9f\92\88\85{tq©\9f\92\88\85{wq¥\9f\95\88\85{wq¥\9f\92\8b\85{wq©\9f\92\8b\85~tq©\9f\92\8b\85{tq©\9f\95\8b\85{tm©\9f\95\8b\85~tq©\9f\95\8b\85{wq©\9f\92\88\85{wq©\9f\95\8b\85{wq©\9f\95\8b\85{tq¥\9f\95\8b\85~wm¥\9f\95\8b\85{wq¥\9f\95\88\85{wq©\9f\95\8e\85~wq¥\9f\95\8b\81{tqÝÓƼ³¬¢\9fÿÿÿÿÿÿûñÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþôîáÿÿþôëá×ÓûûîäÚÓÊÃîîç×ÐÆÀ¹ççÝÓƼ¹³ää×Íù¶¬ááÓÊÀ¹¯©áÝÓʼ¶¯©ÚÚÐù³¬¥××Íù¯©¢ÓÓÆÀ¶¯¥\9fÐÐƹ³©¢\9fÊÍù¯¥\9f\98Êʼ³¬¢\9f\92Ãƹ¯¥\9f\95\92Ãù¬¢\9f\98\8eÀÀ¶¬\9f\9c\95\8b¼À³©\9f\95\92\8b¹¹¯¥\9f\95\8e\88¹¹¯¢\9c\92\8b\85¹¹¬¢\9c\92\88\85¶¹¬\9f\95\92\88\85³³©\9f\92\8e\88\81³³©\9f\95\8b\88~¯³¥\9c\95\88\85~¯¯¥\9c\92\88\85~\88\92\85~wqjg]SPF?962,(\1f\18\15\11\v\a\ 4\ 4\0\0\0\0\0\0\1f\11\v\ 4\ 1\0\0\02("\e\15\ e\ e\aM?92/,%\1f`SPF<962m`ZPMC?9tj`VPMF?{jd]SPIC{mg]VPIC{mg]ZPMF~mj`ZPPI~qjdZSPI\85wmg]VSP\85{qg`ZVP\88{tjd]ZP\88~tmg`ZV\8e\81wmj`]V\8e\81{qjd]Z\92\85~tmg`Z\95\85~tmg`]\95\88~wqjg]\95\88\81wqjd`\98\8b\81{qjg`\9c\8b\85{qjj`\9f\8e\85{qmg`\9f\8e\85~tmjd\9f\8e\85~tmjd\9f\92\88~wqjg\9f\92\88\81wqjgÝÐƹ³©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿûîäÝÓñôëÝÓÊüááÓÊù³©Ú×ʼ¹¯©\9f×Ðƹ¯©¢\98ÓÍù¯¥\9f\9cÓÍù¯¥\9f\95ÓÍÀ¹¯¥\9f\98ÓÍù¬¥\9f\98ÐÊÀ¶¬¢\9f\95Íƹ³¥\9f\9c\92Êƹ¯¥\9f\95\8eÆÀ¶¬¢\9c\92\8bü³©\9f\98\92\88¼¹¯¥\9f\92\8e\88¼¹¯¢\9c\95\8b\85¼¹¬¢\98\92\88\85¹³¬\9f\98\8e\88\85¹³©\9f\95\8e\85\81¹¯¥\9f\92\8e\85\81¶¯¥\9f\92\88\85{¶¯¢\9c\92\88\85~³¬¢\9c\8e\88\85{¯¬\9f\98\8e\88\81{¯©¢\95\8b\85\81{¯¬\9f\95\8b\85~w¬©\9f\95\8b\85~w\88\8b\85wqjg]ZPM?<62/,"\1f\15\11\v\a\ 4\ 4\ 1\0\0\0\0\0\0\18\ e\a\ 4\0\0\0\0/%\1f\18\11\v\a\ 4I<6/,%\1f\e]PF?96/,jZSMF?<6qd]SMF?9tg`VPFC?wj`ZPIF?wj`ZSPFC{md]SPIC{qg]VSMF\81qj`]SPI\85tmg]VSM\85wmj`ZSP\88{tjd]VP\8b~tjg]ZS\8e\81wmg`ZV\92\85wqjd]V\95\85{tjg`Z\95\85~tmg`]\95\88~wqjd]\9c\88\85wqjd]\9c\8b\85wqjg`\9c\8b\85{qjg`\9c\8e\85{tmg`\9f\8e\85~tmjd\9f\92\85~wmjd\9f\92\85~wmjdÚÐƹ¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþôîäÚÓøñçÚÓÆùäáÓÊù³¬ÝÓʼ¶¬©\9fÚÐƹ¯¥¢\9c×Êö¯¥\9f\95×ÍÀ¹¯¥\9f\98ÚÍÀ¶¬¥\9f\95ÓÊÀ¶©¥\9f\95ÓƼ³¬¢\9c\92ÓƼ³©¢\98\92Ðù¬¥\9f\98\8eÊÀ¹¬¢\9c\92\8eƼ³©\9f\98\92\88ƹ¯¥\9f\95\8e\85ù¬¢\9c\92\8b\85ù¬¢\98\92\88\85¼³¬\9f\98\8e\88\81¼³©\9f\92\8b\85\81¹¯¥\9f\92\8b\85~¹¯¥\9c\92\88\85{¹¯¢\9c\92\88\85~¹¬¢\98\8e\88\85{¶¬¢\95\8e\85\81{¹¬\9f\95\8e\85~w¶©\9f\95\8b\85\81w³©\9f\92\8b\85~w\8e\8e\85wqjd`ZPI?<62/,"\1f\18\11\v\a\ 4\a\ 4\0\0\0\0\0\0\e\ e\a\ 4\0\0\0\0/(\1f\e\15\ e\v\aI<6/,("\1f]PI?96//j]SPF?<6qg]SPFC<tg`VPMF?tj`ZPMFCwjd]SPIC{jd]VPIF~mg`ZPMF~qj`ZSPI\85tmd]VSP\85wqg`ZSP\88{qjd]VP\8b~wjg`ZS\8e\81wmj`]V\92\85{qj`]V\92\85{qjg]Z\95\85~tmg`Z\95\88\81wmj`]\98\88\81wqjd]\9c\88\85wqjg`\9c\8b\85wtjg`\9f\8e\85{qmg`\9f\8e\85~tmjd\9f\8e\88{tmjd\9f\8e\88~wqjdÚÐù³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓþôçÝÓÊùîáÓÊÀ¹³©áÓÊÀ¶¬¥\9fÝÐù¯©¢\9cÚÊù¬¥\9f\95ÚÊÀ¶¬¥\9f\98ÚÍÀ¶¬¥\9f\95Úʼ¶¬¢\9f\92×Ƽ³¬¢\9c\92ÓƼ¯©\9f\98\92Ðù¯¥\9f\95\8eÍÀ¶¬¢\9c\92\8eʼ³©\9f\98\92\88ƹ¯¢\9f\92\8e\88ö¬¢\9c\92\8b\85ö¬\9f\98\8e\88\81À³©\9f\95\8e\88\81¼³©\9f\92\8b\85~¼³©\9f\95\8b\85~¼¯¥\9c\95\8b\85~¼¯¥\98\92\88\85{¹¯¢\95\8e\88\81{¹¬¢\98\8e\85\81{¹¬¢\95\8e\85\81w¹¬\9f\95\8b\85\81w¹©\9f\95\8b\85~w\95\8b\85wqjg]ZPI?96//,"\1f\18\11\v\a\ 4\ 4\ 4\0\0\0\0\0\0\e\ e\a\ 1\0\0\0\0/%\1f\18\11\ e\a\ 4F<6/("\1f\1fZPF?96/,j]SIC?96md]SMF?<tj]VPFC?tj`VPMF?wj`ZSPFCwjd]SPIC{mg]ZSMF~qj`ZSPI\85wjd]VPP\85wmj]ZSP\88{tjd]VP\88~tmg`ZS\8e\81wmj`]V\8e\81{qjg`Z\92\85{tjd]Z\92\85~tjg`]\92\88~wmj`]\95\88\81wqjg]\98\88\81wqjg`\9c\8b\85{tjg`\9c\8b\85~tmj`\9c\8e\85~tmjd\9f\92\88~wqjd\9f\8e\88~wqjgÚÍƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓþôëÝÓÊùîáÓÊÀ¹¯¬äÓÊÀ¶¬¥\9fáÐù¯¥¢\9cÚÍÀ¹¬¥\9f\95ÚÊÀ¶¬¥\9f\98ÚÍÀ¹¬¥\9f\95ÚÊÀ¶¬¥\9f\95×ʼ¶©¢\9c\92×ƹ³©\9f\9c\92Óù¯¥\9f\95\8eÐÀ¶¬¢\9c\92\8bʼ³©\9f\95\92\88ƹ¯¥\9f\95\8e\88ƹ¬¢\9c\92\8b\85ù¬¢\98\8e\88\85ó©\9f\95\8e\88\81À³¥\9f\92\8e\85\81À³¥\9f\92\8b\85\81¼³¥\9f\8e\88\85~¼¬¢\98\8e\88\85{¼¬¢\98\8e\88\81{¹©\9f\98\8e\88\81{¹¬\9f\95\8e\85~{¹©\9f\95\8b\85~{¹©\9f\95\8b\85~w\95\8b\85wqjg]ZPIC<62/,%\1f\18\15\ e\ e\ 4\v\ 4\ 1\0\0\0\0\0\1f\11\v\ 4\ 1\0\0\0/%\1f\18\15\ e\ e\aF<6/,%\1f\1fZPF?92//g]SMF?96m`ZPMF?<tg]VPIF?tj`ZPIF?wj`ZPPFCwjd]SPFC{mg]VPIF~qj`ZSPF\81tjd]VPM\85wqg`ZSP\88{qjd]VP\88~tjg]ZS\8b\81wmj`]S\8e\85wqj`]Z\8e\85{qjd]Z\95\85{tjg]Z\95\88~tmj`]\95\88~wmjd]\98\88\81wqjg`\9c\8b\85{qjg]\98\8b\85{tjj`\9c\8e\85{tmgd\9c\8e\85~tmjd\9f\92\85~wmjdÚÐù¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûøîÿÿþøîäÚÓþñçÝÓÊùîáÓÆÀ¹¯©äÓƼ¶¬¥\9fÝÍù¯¥\9f\9cÚÊÀ¶¬¥\9f\95ÚÊÀ¶¬¥\9f\95ÚÊÀ¶¬¥\9f\95×ʼ¶¬¥\9c\95×Ƽ³©¢\9c\92×ƹ³©\9f\98\92Óù¯¥\9f\95\8eÐÀ¶¬\9f\9c\92\8eͼ³©\9f\98\8e\88ʹ¯¥\9f\95\8b\85ƹ¬¢\9c\92\8b\85ƹ¬¢\98\8e\88\85ó©\9f\95\8e\85\81ó©\9f\92\8e\85\81¼³¥\9c\92\8b\85~¼¯¥\9c\92\88\85~¼¯¢\9c\8e\88\85{¹¬¢\98\8e\88\81{¹¬¢\98\8e\88\81{¹¬\9f\98\8b\85~w¹¬\9f\95\8b\85~w¹©\9f\92\8b\85~w\9c\8b\85wqjg]VPI?962,("\1f\15\11\v\ 4\ 4\a\ 4\0\0\0\0\0\0\18\ e\a\ 1\0\0\0\0/"\1f\18\11\ e\a\ 4C<6/,%"\eZPF?96//g]SMF?96mdZSMFC<qg]VPIF?tj`ZPMFCtj`ZSMFCwjg]SPIF{mg`ZPPF~qj`ZVPI~tjd]VPP\85wmg`ZSP\85{qj`]ZS\88~tjg`ZS\8b\81tmj`]V\8e\81wqjd]Z\8e\85{qjg`Z\92\85~tmg`]\92\85~tmj`]\95\88\81wqjd]\98\88\81wqjg]\95\8b\85{qjg`\9c\8e\85{qjg`\9c\8e\85{tmj`\9c\8e\85~tmj`\9f\8e\85{wmjdÚÍù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿÿøîäÝÓÿñçÝÓÊùîáÓÊÀ¹¯©äÓƼ¶¬¥\9fÝÍù¯©\9f\9cÚÍÀ¶¬¥\9f\98ÝÍÀ¹¬¥\9f\95ÚÊÀ¶¬¥\9f\95Úʼ¶¬¥\9c\95×Ƽ³¬¢\9c\92Óƹ³©\9f\95\92Óù¬¢\9f\95\8eÐÀ¶¬¢\98\92\8bͼ¯©\9f\98\8e\88ƹ¯¥\9f\95\8b\85ƹ¬¢\9c\92\8b\85ƶ¬\9f\98\92\88\85ó©\9f\95\8e\88\81À³©\9f\92\8e\85\81¼¯¥\9f\92\8b\85~¼¯¢\9c\92\88\85~¼¬¢\9c\8e\88\81{¹¬\9f\9c\8e\88\81{¹¬\9f\98\8e\85\81{¹¬\9f\98\8b\85~{¹©\9f\95\8b\85~w¹©\9f\92\88\85~w\9c\8b\81wmjg]VPI?<62,("\1f\15\ e\v\a\ 4\a\ 1\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,%\1f\15\11\v\a\ 4F96/("\1f\eVPF?92/,g]SMC?96jdZSMF?9qg]VPIF?qj]VPMF?tj`ZSMFCwjd]SPFCwmg]VPMF~qj`ZSPI~tjg]VPI\81wmg]ZSP\85{qjd]ZP\88~tjd]ZS\8b~wmj`]S\8e\85wqj`]V\8e\85{tjd]Z\92\85{tmg`Z\92\88~tmj`]\92\88\81wmjd]\95\88\81wqjg`\95\88\85{qjg`\98\8b\85{tjg`\9c\8e\85{tmj`\9c\8e\85~tmjg\9f\8e\88~wqjg×Íù¯©\9f\9cÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîäÚÓÿñçÚÓÊùñáÓʼ¹¯©äÓʼ¶¬¥\9fáÐù¯©\9f\95ÚÊÀ¹¯¥\9f\95ÚÍÀ¶¬¥\9f\9c×ÊÀ¶¬¥\9f\95×ʼ¶¬¢\9f\95×ʼ³©¢\98\95Óƹ³¥¢\95\92Ðù¯¢\9f\95\92ÐÀ¶¬\9f\9c\92\8bʼ³©\9f\98\8e\88ƹ¯¥\9c\95\8b\85ƹ¬¢\9c\92\8b\85ƶ¬¢\95\8e\88\85ó©\9f\95\8e\85\85ó¥\9f\92\8b\85~¼³¥\9f\92\8b\85~¼¯¥\9c\92\8b\85~¹¯¢\9c\8e\88\81{¹¯¢\9c\8e\88\81{¹¬\9f\95\8b\88\81{¹¬\9f\98\8e\85~{¹©\9f\95\8e\85~w¹©\9f\92\8b\85~w\9c\8b\81wqjd]VPI?962/,"\1f\15\11\v\v\ 4\v\ 4\0\0\0\0\0\0\18\ e\ 4\ 4\0\0\0\0/%\1f\e\15\ e\v\aC<6/,%"\1fZPI?962,d]SMF?<6md]SPFC<mj]VPMF?qj`ZPMFCqjdZPPFCtjd]SPMFwmg]VPMF{qj`]SPI~tmd]VPM\81wmg`ZSP\85{tjd]VP\88~tjg]ZS\88\81wmj`]S\8b\81wqjd]V\8b\85{qjg`Z\8e\85{tjg`]\92\88\81tmg`]\92\88\81wmj`]\95\88\81wqjd]\95\8e\85wqjg`\98\8e\85{tmg`\9c\8e\85{qjgd\9c\8e\85~tmjd\9c\8e\85{tmjdÚÐƹ¯¥¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøîäÚÓÿñçÝÓÆùîáÓÆÀ¹³©äÓÆÀ¶¬¥\9fÚÍù¯©\9f\9c×ÍÀ¶¬¥\9f\98×ÊÀ¶¬¥\9f\95×ʼ¶¬¥\9f\98×Ƽ¶¬¢\9f\95ÓƼ³©¢\9c\92Óƹ¯¥\9f\98\92Ðù¯¢\9f\92\8eÊÀ³¬\9f\9c\92\8bƼ¯©\9f\98\8e\88ù¯¥\9c\92\8b\85ö¬¢\98\92\8b\85À¶¬\9f\95\8e\88\85À³©\9f\95\8e\85\81À³¥\9f\92\8b\85~¼¯¥\9f\92\8b\85~¼¯¢\9c\92\88\85{¼¬¢\9c\8e\88\81{¹¬¢\98\8e\88\81{¹¬\9f\95\8b\85~{¶¬\9f\95\8b\85~{¶©\9f\95\8b\85~w¶©\9f\92\8b\85{w¶©\9f\92\88\85{t³¥\9c\8e\88\81{t³¥\9c\92\88\81{t³¢\9c\92\85\81wt¯¥\9c\8e\85\81{t³¥\9c\8e\85~wq¯¢\98\8e\85~wq¯¢\98\8e\85~wq¯¢\98\8e\85~wq¯¢\95\8e\85\81wq¯¢\98\8b\85~wq¯\9f\95\8b\85~wm¯\9f\95\8e\85~wq¯¢\95\8b\85{wm¬\9f\98\8b\85{wm¬\9f\95\8b\85~wm¬\9f\95\8b\85~wm¬\9f\92\8b\81{tq¬\9f\92\8b\81~tq¬\9f\92\8b\85~tm¬\9f\92\8b\81{tq¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81{wm©\9f\92\8b\85{tm¬\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\95\8b\81{tm¬\9f\92\88\81{tm\8e\85wmj`]VPI?96//("\1f\18\ e\v\ 4\ 4\0\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 1\0<6/("\1f\e\15SMC<62/,`ZSIC<96mdZSMF?<mg]VPIC?qj`VPMF?qj`ZSMFCtjdZSMMCwmd]VPMF{qj`ZSPI{tj`]SPM~wmg`ZSP\85{qj`ZVP\85~tmg]ZS\88~wmg`]S\88\81wmj`]V\8b\85{qjd`Z\8e\85~tjg`Z\92\85~wmg`Z\92\88~wmjg]\92\88\81wmjg]\95\8b\81{qjd`\95\8b\85{tmj`\95\8e\85{tmj`\95\8e\85{tqjd\9c\8e\88~tqjg\9c\8e\88~wqjg\9c\92\88\81wqjg\9f\92\88~wqjg\9f\92\88\81wqmg\9f\92\88\85{tmg\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\98\8b\85~tmj\9f\95\8b\85{tmj\9f\95\8e\85{tqj¢\95\8b\85~wqj\9f\95\8e\85{wqj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85~wqm¢\9c\8e\85~wqm¢\9c\92\85~wqj¥\9c\8e\85\81wtj¢\9c\92\85\81wtm¥\9c\92\88\81wtm¥\9c\92\85~{tm¢\9c\92\88~{tm¢\9c\92\88\81wtm¥\9f\8e\88\81{tj¥\9c\92\88\81{tm¥\9c\92\88\81wtm¥\9c\92\88\81wtmä×Íù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëáÚÿøîá×ÐƼñäÚÐü¶¯äÚÍù¯©¢ÝÓƼ¶¬¥\9fÚÐù¯©¢\9cÚÐù¯©\9f\9cÚÐù¯©\9f\9c×Íù¯¥\9f\9c×Êù¬¥\9f\98×Ƽ³©¢\9c\95Ðƹ¯¥\9f\98\92Ðö¬¢\9c\95\8eʼ¶©\9f\9c\92\88ƹ¯¥\9f\95\8e\88ƹ¯¢\9f\95\8b\85ö¬¢\98\92\88\85À¶¬\9f\95\8e\88\81¼¶©\9f\95\8e\88\81¼¯©\9f\95\8b\85~¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¹¬¢\95\8e\88\85{¹¬\9f\98\8e\85~{¶©\9f\95\8e\85~w¶©\9f\95\8b\85~w³©\9f\92\8b\85~w³¥\9f\92\88\85~w³¥\9f\92\88\85{w¯¥\9f\8e\88\81{t¯¥\9c\92\88\81{t¯¢\9c\8e\88\81{t¯¢\9c\8e\85~wq¬¢\95\8e\85~wt¬¢\95\8e\85~wq¯\9f\98\8e\85~wq¬\9f\98\8e\85~wq¬¢\95\8b\85~wq¬\9f\95\8b\85~wq¬\9f\95\8b\85{wq¬\9f\98\8b\85{tq¬\9f\95\8b\85~wm©\9f\95\8b\85{tm©\9f\95\88\85~wm©\9f\92\8b\85{tm©\9f\95\88\85{tm©\9f\92\8b\85{tq©\9f\95\88\85{tm©\9f\95\8b\85{tm©\9f\92\88\85{tm©\9f\92\88\85{tm©\9f\92\88\85{tj©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\95\8b\81{tm©\9f\92\88\81wtm©\9f\92\88\81wtj©\9f\92\88\85wtm©\9f\92\88\81wtm\8e\81wqj`]VPI?92/,("\1f\15\ e\a\ 1\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\1f\15\v\a\ 4\ 4\0<6/,%\1f\e\18SMC<62/(`ZPIC<96j`ZPIF?9mg]VPIC?mj`VPIF?qj`ZSPICtjdZSPICtmg]SPMCwqj`ZSPF~tjd]SPM\81wmg`ZSP\85{qj`]VP\85~tjg]ZS\88~wmg`]V\8b\81wmj`]V\8b\85{qjg]]\8e\85{tjg`]\92\85~tmg`]\92\88\81wmjd]\92\88\81wqjg]\95\88\81wqjg`\95\8b\85{tjj`\98\8b\85{qmg`\98\8e\85{tmjd\9c\8e\85{tmjd\98\92\85~wmjd\9c\92\88~wqjg\9c\92\88\81wqjg\9f\92\88\81wqmg\9f\95\88\85{tmj\9f\95\88\85{tjg\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj¢\98\8b\85{tmj\9f\98\8b\85{tmj\9f\98\8b\85{tqj\9f\95\8b\85~wmj\9f\98\8e\85~wmj¢\98\8e\85~wmj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqm¢\9c\8e\85~wqj¥\9c\92\85~wqj¢\9c\8e\88~wqj¢\9c\8e\88~wqj¢\9c\92\85~{tm¥\9c\92\85\81wqm¢\9c\92\88\81wqm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81{tm¢\9c\8e\88\81{tm¥\9c\92\85\81{tmáÓÊÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûôëá×ÿøîáÚÐÆÀñäÚÐƼ¹¬çÚÍù³¬¢ÝÓʼ¶¬¥\9fÚÐƹ³©¢\9fÚÐù³©¢\9cÚÍƹ¯©\9f\9c×Íù¯¥\9f\98ÓÊÀ¶¬¥\9f\95ÓƼ³¬¢\9c\92Ðù¯¥\9f\98\92Íù¬¢\9c\92\8eʼ¶©¢\98\92\8bƼ³©\9f\98\8e\88ù¬¥\9c\92\8b\85À¹¬¢\9c\92\8b\85¼¶©\9f\98\8e\88\85¼³©\9f\95\8e\85\81¼³¥\9f\92\8b\85~¹¯¥\9c\92\88\85~¹¯¢\9c\92\88\85~¹¬¢\98\8e\88\85{¶¬\9f\98\8e\88\81{¶©\9f\95\8e\85~w¶¬\9f\92\8b\85~w³©\9f\92\8e\85~w³¥\9f\92\8b\85~w³¥\9f\92\88\85~t¯¥\9f\92\88\85{t¯¢\9f\92\88\81{t¯¢\9c\8e\85\81{t¬¢\9c\8e\85\81wt¬¢\95\8e\88\81{t¬¢\98\8e\85~{t¬¢\9c\8e\85~wq©\9f\98\8e\85~wq¬\9f\98\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85{wq¬\9f\95\8e\85~wq©¢\95\8b\85{tm©\9f\95\8b\85{tq©\9f\92\8b\85{wm©\9f\92\88\85{tm©\9f\92\8b\81{tm©\9f\95\88\85{tm©\9f\92\88\85{tm©\9f\92\8b\81{tm¥\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81wtm©\9f\92\88\81{tm¥\9f\92\88\81wqj©\9f\92\88\81wtm¥\9f\92\88~{tm¥\9f\92\88\81{tm©\9f\95\88\81{tm¥\9f\92\88\81wtm\88\81wqg`]SPF?96/,("\1f\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 4\0<6/,%\1f\e\18SMC<62/,`]PIF?<6jd]SMFC<mj]VPIF?qj`ZPPF?qj`ZSPICtjdZSPICtmg]VPMFwqj`ZSPF{tjd]VPM\81wmj]ZSP\85{qj`]VS\85~qjd]ZS\88~wmg`]S\88\85wqj`]V\8b\85wqjd]Z\8e\85{tjg`Z\8e\85~tmj`]\8e\88\81tmjd]\92\88\81wmjd]\92\8b\81wqjd]\95\8b\85{qjg`\98\8e\85{qjgd\98\8e\85{tmj`\98\8e\85~tmj`\98\8e\85{tqjd\9c\92\85~wqjd\9c\92\88~wqjg\9c\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\81{qjj\9f\92\88\81{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmg\9f\95\8b\85{tmj\9f\98\8e\85{tmj\9f\95\8b\85{tmj¢\95\8e\85~wqj\9f\98\8e\85~wmj¢\98\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85\81wtj¢\9c\8e\85\81{tm¢\9c\8e\85~wqm¢\9c\8e\88~wtj¢\98\8e\88~wtm¢\9c\92\88\81{tm¢\9c\8e\88\81{tm¢\9c\92\88\81wtm¥\9c\92\85\81{tm¢\9c\92\88\81wqm¥\9c\92\88\81wtm¥\9c\92\88\81wtmá×Êù¯©\9fÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþñîäÚÿûîäÚÐÆÀîçÚÍƼ¶¬äÚÍù³©¢ÝÓƹ³¬¥\9fÚÍƹ¯©¢\98ÚÍù¯©¢\9c×Ðù¯©¢\98×Íù¯¥\9f\98ÓÊÀ¶¬¢\9f\95ÓƼ³¬¢\9c\92Ðƹ¯¥\9f\98\8eÍÀ¹¬¥\9f\95\8eƼ¶¬\9f\98\92\88ƹ¯¥\9f\95\8e\88ù¯¢\9c\92\8b\85À¹¬¢\9c\92\88\85¼¶©\9f\95\8e\88\85¼³©\9f\95\8b\85\81¹³¥\9f\95\8b\85~¹¯¥\9c\95\8b\85~¹¬¢\98\8e\88\85~¹¬¢\9c\8e\88\85{¶©¢\95\8e\85\81{¶¬¢\95\8b\88~w³©\9f\92\8b\85~w³©\9f\92\8b\85~t¯¥\9c\92\88\85{t¬¥\9c\92\88\81{t¯¥\9c\92\88\81{t¯¥\98\8e\85\81{t¬¢\9c\8e\88\81{t¬¥\9c\8e\85~wq¬¢\9c\8e\85\81wt¬¢\98\8e\85~wq¬¢\98\8e\85~wq¬\9f\95\8e\85~wq©¢\98\8e\85~wq©\9f\95\8b\85~wm©\9f\98\8b\85{wq©\9f\95\8b\85{tm©\9f\95\8b\85{tq¬\9f\92\8b\85{tm©\9f\98\88\85{tm©\9f\95\88\85{tm©\9f\95\8b\81{tm©\9f\95\8b\81{tm©\9f\95\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\95\88\81{tm©\9f\95\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9f\92\88\81wtj¥\9f\92\88\81{tm\88\81wmj`]VPI?96//("\1f\15\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\a\ 1\0\0\0\0\0%\1f\18\11\v\a\ 4\ 1<6/,("\1f\18SPC<96/,d]SMC?96j`ZSMFC<mg]VPIF?qj]ZPMF?qj`ZPMF?tj`ZSPICwmg]VPMFwqj`ZSPF{tj`]VPI~wjd]ZSP\81{qj`]VP\85{qjd]ZS\85~tjg]ZS\88\81wmj`]S\8b\81wqjd]Z\8b\85{qjg`Z\8e\85~tmg`]\92\85~tmjd]\92\88\81tqjd]\92\88\81wqjg]\92\8e\81wqjg]\95\8b\85{tmg`\9c\8e\85{tmjd\95\8e\85{tmj`\9c\8e\85~wmj`\9c\92\85~tqjd\9f\92\88~wqjg\9f\95\88~{qjg\9f\92\8b\81wqmg\9f\92\88\81wqmg\9f\95\88\81{tmg\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\98\8b\85~tqj\9f\98\8b\85{wmj\9f\95\8b\85{wqj\9f\98\8e\85{wqj\9f\98\8e\85{wqj\9f\95\8e\85~tqj¢\92\8e\85~wqj\9f\98\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85\81wqj¢\9c\92\85~wqj¥\9c\92\85~wqj¢\9c\92\85\81wtj¢\9c\8e\85~wqj¢\9c\8e\85\81wqm¢\9c\8e\85~wqm¢\9c\8e\88\81{qm¢\9c\8e\88\81wqm¥\9c\92\88\81wtm¢\9c\92\88\81wtm¥\9c\92\85\81{tmá×Êù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôëáÚÿûîä×ÐÆÀîäÚÐƼ¶¯äÚÍù³©¢ÝÓƼ³¬¢\9fÚÐù¯©¢\9c×Íù¯©¢\98×Íù¬©\9f\9c×ÍÀ¹¯¥\9f\9cÓʼ¶¬¥\9f\95ÓƼ³©¢\9c\92Íƹ¯¥\9f\95\92Êö¬¢\9f\95\8bÆÀ³©\9f\98\92\8bù¯¥\9f\95\8e\88¼¹¬¢\9c\95\88\85¼¹©¢\98\92\88\85¼³©¢\98\8e\88\85¹³¥\9f\95\8e\85\81¹³¥\9f\95\8b\85~¹¯¢\9c\92\8b\85~¶¬¢\9c\8e\88\81{¶¬¢\98\8e\88\81{¶¬\9f\95\8b\88~{³¬\9f\98\8b\85~w³©\9f\95\88\85~w¯©\9f\92\88\85{t¯¥\9f\92\88\81{t¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t¯¥\98\92\85\81{t¬¢\9c\8e\85\81wt¬¢\95\8e\85\81wt¬¢\98\8e\85~wt©¢\98\8e\85~wq©¢\95\8e\85~wq©¢\95\8e\85~tq©\9f\92\8b\85~tq©\9f\92\88\85~wq©\9f\92\8b\85~tm©\9f\92\8b\85{tq©\9f\92\8b\85{tm©\9f\92\8b\81{qm©\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\8b\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\95\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\8e\88\81{qm¢\9f\92\88\81{qj\88\81tmj`ZZPI?96//(%\1f\18\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 1\0\0\0\0\0\0"\1f\15\ e\v\ 4\ 4\0<6/(%\1f\e\18SIC<6//(`ZSIC<96j`ZPMF?9mg]VPIC?mj`VPMF?qj`ZSMF?tj`ZSMICtjg]VPIFwmg]VPPF{tj`ZSPI~wmg]ZSP\81{mj`]SP\85~qjd]ZS\88~tmg`ZV\88~wmg`]V\8b\81{qjd]V\8e\85{tjg]Z\8e\85{tmg`Z\92\85~tmg`]\92\85~tmjd]\92\88\81wqjg]\92\8b\85wqjg`\95\8b\85{tjj`\98\8b\85{tmj`\98\8e\85{tmj`\98\8e\85~tmjd\9c\8e\85~tqjd\9c\92\88~wqjg\9f\92\88~wqmg\9f\92\88\81{tjg\9f\92\88\85wtmg\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{wqj\9f\95\8b\85~tqj\9f\95\8b\85~tqj¢\98\8e\85{tqj\9f\95\8e\85{tqj\9f\98\8e\85~wqj¢\95\8e\85~wmj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~tqj¢\9c\92\85~wqj¢\9c\8e\85~wtm¢\98\8e\85\81wqj¢\9c\92\85~wqj¢\9c\92\85~wqj¢\9c\92\85~wtj¢\98\8e\85~wtm¢\9c\92\88\81wqj¢\9c\8e\85~wtm¥\9c\8e\85\81wtm¥\9c\92\85\81wqjá×ÊÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿûñëá×þøîá×ÐÆÀîä×Íü¶¬á×Íù³¬¢ÚÐƹ³¬¢\9f×Íù¯©¢\9c×Íƹ¯©¢\95×Êù¯¥\9f\98ÓÊö¬¥\9f\98Óʼ¶¬¢\9f\95Ðƹ³©¢\98\92Íƹ¯¥\9f\98\92ÊÀ¶¬¢\9c\95\8eƼ³©\9f\9c\92\88ù¯¥\9f\95\8e\88À¹¯¢\9c\95\8b\85À¶¬¢\98\8e\88\85¼³©\9f\95\8e\88\85¼³¥\9f\92\8e\85\81¹¯©\9f\92\8b\85~¹¯¥\9c\92\88\85~¹¬¢\9c\8e\88\81~¶¬¢\98\8e\88\81{¶¬\9f\98\8b\88~w³¬\9f\95\8b\85~{³©\9f\95\8b\85~w¯©\9f\92\88\85~w¯¥\9f\92\88\85{w¯¥\9c\92\88\85{t¬¥\9c\92\88\81{t¬¥\9c\8e\88\81wt¬¢\98\8e\85~wq¬¢\98\8e\85\81wq¬¢\95\8e\85~wq©\9f\95\8b\85~wt¬¢\95\8b\85\81wq©\9f\98\8e\85~tq©\9f\92\8e\85~wq©¢\95\8b\81~tq©\9f\95\8b\85~tm©\9f\92\8b\85{tq©\9f\95\88\81{tq©\9f\92\88\81{tm©\9f\95\8b\81{tq¥\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\92\8e\81{qm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tj¥\9f\92\88~{qj¥\9f\92\88\81{qm¥\9f\8e\88~{qm¥\9c\92\88\81wqm¥\9f\92\88~{qm¥\9f\92\88~{qm\88\81tmg`ZSPF?92/,("\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\1f\11\ e\a\ 4\ 1\096/("\1f\1f\15SI?96/,,dZPIC<66j`ZPMF?9mg]SPIC<qg]VPIC?qj]VPMF?tj`ZPPFCtjd]SPIFwmg]ZPPF{qj`ZSPI~wjg]VSM\85wqj`ZVP\85{qjd]ZP\88~tjg]ZS\88\81tmj`]V\88\85wqjd]Z\8e\85{qjd`Z\8e\85{tmg`]\8e\85~tmjd]\95\88{wmjd]\92\88~wqjg`\95\88~wqjg`\95\8b\81{tjj`\98\8e\81{tmj`\98\8e\85{tmjd\98\8e\85~tmjd\9c\92\85~wqjg\9c\92\85\81wqjg\9c\92\85~wqmd\9f\92\88\81wqmg\9f\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\81wtmg\9f\95\88\81{tmg\9f\92\88\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\98\88\85~wmj\9f\98\88\85{tmj\9f\95\8b\85~wqj¢\95\8b\85~wqj¢\98\8b\85{wqj¢\98\8e\85~tqj¢\98\8e\85{wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¥\9c\8e\85~wtj¢\9c\8e\85~wqj¢\9c\8e\88~wqm¢\98\8e\85~wtm¢\9c\8e\85\81{tj¢\9c\8e\88\81{tm¢\9c\8e\88\81wtm¥\9c\92\88\81{tmáÓÊÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûñçÝ×þôîáÓÍÆÀîä×Íù¶¯áÓÊÀ¹¯©¥ÚÐƼ³¬¥\9f×Ðù¯©¢\9f×Ðù¯©\9f\9c×ÐÀ¹¬¥\9f\98×ÍÀ¹¬¥\9f\98Óʼ¶¬¥\9f\95ÐƼ³©¢\9c\92Ðù¯¥\9f\95\8eÊó¬¢\9c\92\8bƼ¯©\9f\98\92\8bƹ¯¥\9c\92\8e\85ù¬¥\9c\92\8b\85¼¶©¢\95\92\88\81¼³©\9f\95\8e\88\81¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¹¬¢\9c\8e\88\81{¶¬¢\98\8e\88\81{¶¬\9f\95\8b\85~w³©\9f\95\8b\85~w³©\9f\92\8b\85~w³©\9f\92\88\85{w¯¥\9f\92\88\85{t¯¥\9f\92\88\85{t¯¥\9f\92\88\85wt¯¢\9c\8e\85~wt¬¢\9c\8e\85~wt¬¢\9c\8e\85~wq©¢\98\8e\85~wq¬\9f\95\8b\85~wq¬\9f\95\8e\85~wq©¢\95\8b\85~wq©¢\95\8b\85~tq©\9f\95\8b\85{tm©\9f\95\8b\81~tq¥\9f\95\8b\81{tm©\9f\92\8b\81~tm¥\9f\95\88\81{tj¥\9f\95\88\81{tm¥\9f\92\8b\81~tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\95\88\81{qm¥\9f\92\88\81{qm¥\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9f\92\88\81wqm¥\9f\92\88\81wqm¥\9f\92\88~{qm¥\9f\92\88~wqj¥\9f\92\88\81{qm¥\9f\92\88~{qm¥\9f\92\88~wqj¥\9f\92\88~{qm\88~wmg`ZSPF?62/,%"\e\15\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\096/("\1f\e\15SMC96//(`VPIC<66j`]PIF?9mg]SPMC?mj]VPMF?qj`ZPIF?qjdZSMICtjg]SPMCwmj]VSMF{tj`]SPM\81wmg]ZPP\85wqj`]VP\85{tjg]ZS\85~tjg`ZS\88\81wmj`]V\8b\81wqjd]Z\8e\85{qjg`Z\8e\85~tjj`Z\8e\88~tmg`]\92\88\81wqj`]\92\88\81wqjd]\95\88\81wqjd`\92\8b\85wqjg`\95\8b\85{tjg`\95\8e\85{tmj`\98\8e\85{tmj`\9c\8e\85{wmjd\9c\8e\85{wqjg\9c\92\85~wmjg\9c\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wtjg\9f\95\88\81{tmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{wqj\9f\98\8b\85~tmj\9f\98\8b\85~wqj\9f\98\8e\85~wqj\9f\98\8b\85~wqj¢\95\8b\85~wqj¢\98\8b\85~wqj¢\98\8e\85{wqj¢\95\8e\88~wqj¢\98\8e\85~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wqj¢\95\8e\85~wtm¢\98\8e\85~wtm¢\9c\8b\85\81wtm¢\9c\8e\85~wtj¢\9c\8e\85~wtmáÓÊÀ¹¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëáÚÿøîáÚÐƼîä×ÍƼ¶¬ä×Êù¯©¢ÚÓƹ³©¢\9c×Íù³©¢\98×Íù¯¥¢\9c×ÍÀ¹¯¥\9f\98×ÊÀ¹¬¥\9f\95Óʼ³¬¥\9f\95Ðƹ³©¢\9c\92Íù¯¥\9f\98\8eÊÀ¶©¢\9c\92\8bƹ¯©\9f\95\92\88ù¯¥\9f\92\8e\88ö¬¢\9c\95\8e\85À¶©¢\98\92\88\85¼³©\9f\95\8e\88\81¹¯¥\9f\92\8b\85~¹¯¥\9f\92\8b\85~¹¬\9f\9c\92\88\85~¹¬¢\98\8e\88\85{¶¬\9f\95\8e\85\81w³©\9f\95\8b\85\81w³©\9f\95\8b\85\81w³©\9f\92\8b\85~w³¥\9f\92\88\85{t¯¥\9f\92\88\85~t¯¢\9c\92\88\85{t¬¥\98\8e\88\81~t¬¢\95\8e\85~{t¬¢\95\8e\85\81wt¬¢\95\8e\85~{q©\9f\95\8e\85~wq¬\9f\95\8b\85~wq¬¢\95\8b\85{wq¬\9f\95\8b\85~wm©\9f\92\8b\85~wm©\9f\92\88\85{wm¬\9f\92\8b\85{wm©\9f\92\88\85{tm©\9f\92\88\81{wm©\9f\92\8b\85{wm©\9f\92\88\81{tj©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81{tj¥\9f\92\88\81{tj©\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9c\92\88~wtj¥\9c\92\88\81wtm¥\9f\92\88\81{tj¥\9f\92\88\81wtj¥\9c\92\85\81wtj¥\9f\92\85\81wqm¥\9c\92\88\81{qj¥\9c\8e\85\81wtj\88~wmg`ZSPF?96/,("\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\1f\15\ e\v\ 4\ 4\ 1<6/,"\1f\e\18SMC<66/,`]PIC?96j`ZPMF?9mg]VPIC?mj]VPMF?qj`ZPPFCtj`ZSPICtmg]VPMFwqj]ZSPI{tj`]SPI~wmg]VSP\81wmj`ZSP\85{tjd]VP\85~tmg]ZS\88~tmg`]V\88\81wqj`]V\8b\85{qjd]Z\8e\85~tjg]Z\8e\85~tmg`]\92\88\81wmjd]\92\88\81wqjd]\92\8b\85wqjg`\92\8b\85{qjg`\95\8e\85{qmj`\98\8e\85{tmjd\95\8e\85{tmjd\9c\8e\85~tmjd\9c\8e\85{tmjd\98\8e\88~wqjg\9f\92\88~wqjg\9c\92\88\81wtmg\9f\92\8b\81{tjg\9f\92\8b\81{qmg\9f\92\8b\81{qmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\81{tmj\9f\95\8e\85{wqj\9f\95\8e\85{tmj\9f\95\8b\85{wqj\9f\95\8e\85{tmj\9f\95\8e\85~wqj\9f\95\8e\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\98\92\85~wqj\9f\98\8e\85{wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\98\8e\88~wqjáÓʼ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîÿÿÿûñçÝÓÿøîÝÓÍƼîá×Êù³¬áÓÊÀ¹¯©\9fÚÍƹ³©¢\9c×Êù¯¥\9f\95×Íù¬¥\9f\95×ÊÀ¶¬¥\9f\95ÓƼ¶¬¢\9f\98ÓƼ³©¢\9c\95Ðƹ¯¥\9f\98\92ÍÀ¶¬¥\9c\92\8eÆÀ³©\9f\9c\92\8bƹ³¥\9f\92\8e\85À¹¬¢\9c\92\8e\85À¶¬¢\98\8e\88\85¼³©\9f\95\8e\85\81¹¯¥\9f\92\88\85~¹¯¥\9c\92\88\85~¹¬¢\9c\92\88\85~¹¬¢\9c\8e\88\81{¶¬\9f\95\8e\88\81w³©\9f\95\8e\85\81w³©\9f\95\88\85~w¯¥\9f\92\88\85~t¯¥\9c\92\88\85{t¬¥\9c\8e\88\81{t\92\88~wmj`]SPF<66//(\1f\e\11\ e\v\a\ 1\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\ e\v\ 4\ 4?92,%"\1f\18SMC<62/,`VPF?<62j]SPFC<6j`ZPMFC<jd]SPFC<mg]VPIC<qj`VPMF?qj`ZSPICtmd]VPMF{qj`ZSPI{tjd]VPM\81wjg]ZSP\81{mg`]VP\85{qjd]ZS\88{tjd`ZS\88\81tmj`]V\8b\81wqgd]V\8b\85wqjg`Z\8e\85{tjg`Z\8e\85{tmg`]\92\88~tmjd]\92\88~wmjd]\92\88\81wqjg]\92\88\81wqjj`\95\8b\85{tmj`Óʼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþøñçÿÿûñçÝ×Íûîá×ÍƼ¹ä×Íƹ³¬¥ÚÐù¯©¢\9cÓƼ³¬¥\9f\95ÓƼ³©¢\9c\92ÓƼ³¬¢\9f\92Óƹ³©¢\9f\92ÐƼ³©\9f\9c\92Íù¯©\9f\98\8eÍö¬¢\9f\95\8eʼ³©¢\98\92\8bƹ¯¥\9f\95\8e\88ù¬¢\9c\92\8e\85À¶¬¢\98\92\8b\81¼³©\9f\98\8e\88\81¹³¥\9f\92\8e\88~¹¯¢\9c\92\8b\85{¹¬¢\9c\92\8b\85~¶¬¢\98\8e\88\85{¶©\9f\95\8e\85\81{¶©\9f\92\8e\85\81w³©\9f\92\8b\85~w¯¥\9f\92\8b\85~t¯¥\9c\92\88\85{t¯¥\98\92\88\81~t¯¥\9c\8e\85\81{q¬¢\98\8e\85\81{q¬¢\98\8e\85~{q¬\9f\95\8e\85~wq¬\9f\92\8e\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85~wm©\9f\92\8b\85{wm©\9f\92\88\85{tq©\9f\92\88\81{tm©\9c\92\88\81{tm¥\9f\92\88\81wwm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88~wtm¥\9c\92\88\81{tm¥\9c\92\88\81wtj¥\9c\92\85\81wtj¥\9c\92\88~wqj¥\9c\8e\85\81wqj¥\9c\92\88~wqj¥\9c\92\85~wqj¥\9c\92\85~wtj¥\9c\8e\85~wqj¥\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¥\9c\8e\85~wqj\88~tjg]ZSPF?62/,%\1f\e\11\ e\ 4\ e\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\e\15\ e\a\ 4\0\092/("\1f\e\15PI?96/,(`VPFC962j]VPIC<9jd]SPIC<mg]SPIC?mg`VPIF?qj`ZSMFCtjdZVPIFwmg]ZPMF{qj`ZSPI{tjd]VPM\81wmg]ZSP\81{qj`]VS\85~tjg`ZS\88~wmg`ZV\88\81wmj`]Z\8b\81{qj`]Z\8b\85{qjg`Z\8e\85{tjj`Z\8e\85~tmg`]\92\88~wqjd]\92\85\81wqjd]\95\88\81wqjd`\95\8b\81{tjg`\95\8b\81{qjg`ÓÊÀ¶¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôëá×ÐûñäÚÍÆÀ¹çÚÐƹ¶¯¥ÚÓƼ³¬¢\9fÓʼ¶¬¥\9f\95ÓƼ³©¢\9c\95ÓƼ³©¢\98\95ÓƼ³©¢\95\92Ðƹ³©¢\98\92Ðù¯¥\9f\98\92Êù¬¢\9f\92\8eÆÀ³¬\9f\9c\92\8bƼ¯¥\9f\98\8e\88À¹¬¥\9c\95\8b\85¼¶¬¢\98\92\88\85¼³©\9f\95\92\88\81¹³¥\9f\92\8e\85\81¹¯¥\9c\92\8b\85~¹¯¢\9c\8e\8b\85~¶¬\9f\98\8e\88\81{¶¬\9f\95\8e\88~{³©\9f\95\8e\85~w³©\9f\92\8b\85~w¯¥\9f\92\88\85{w¯©\9f\92\88\85{w¬¥\98\8e\88\85{w¯¥\98\8e\85\85{t\8e\88~tmj`]SPF?96/,%\1f\18\11\ e\a\a\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\ 4\ 4?6/,(\1f\1f\18SIC<6//,`SPF?<62j]SPFC<6jdZPMF?<md]SPFC<jg]SPIC<mj]VPMF?qj`ZSPICwjd]VPMC{qj`ZSPF{tjd]VPM~tmg`ZSP\85wqj`]VP\85{qjd]VS\85~tjg`ZS\88\81tmj`]S\88\81wmjd]Z\8b\85{tjg`Z\8e\85{qjj`]\8e\85{tmj`]\8e\85~tmjd]\92\88~wmjd]\95\8b\85wqjg`\95\8b\81wtjg`\95\8b\85{tmj`\95\8e\85{tmj`\98\8e\85{tmjd\98\92\85~tmjd\98\8e\85~wqjg\9c\8e\85~wqjd\9c\8e\85~wqjg\9c\92\85~wqjg\9f\92\88\81wtmg\9f\95\88~wtmg\9f\92\88\81wqmj\9f\95\88\81wtmj\9f\92\88\85{tmj\9f\95\88\85{tmj\9f\92\8b\85{tqj\9f\95\8b\81~tmj\9f\95\88\85{tqg\9f\92\88\85{wmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\88\85{tqj¢\95\8b\85~wqj\9f\98\8b\85{tqj\9f\95\8b\85~tqj\9f\98\8b\85{wqj\9f\98\8b\85~wqj¢\98\8b\85{wqj¢\98\8b\85~tqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\98\8b\85~wqjÝÓÆÀ¶¬¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûîçÝÓþôçá×ÍƼîáÓÍù³¬ÝÓÆÀ¹¯©\9f×Ðù¯©¢\9c×ÍÀ¶¯©\9f\98Óʼ¹¬¥\9f\98Óʼ¶¬¥\9f\95ÓƼ³©¥\9f\95Ðƹ³©¢\9c\92Êƹ¯¥\9f\98\92ÊÀ¹¯¢\9f\95\8eƼ³©\9f\9c\92\8bù¯©\9f\95\8e\88ù¬¥\98\95\8b\85À¹¬¢\95\92\88\85¼³©\9f\95\8e\88\85¹³¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¹¯¥\9c\92\88\85{¶¬¢\9c\8e\88\81{¶¬\9f\98\8e\88\81{¶©\9f\95\8b\85~w¯©\9f\95\8b\85~w³¥\9f\92\88\85~w¯¥\9f\92\88\85{t¯¥\9c\92\85\81{t¬¥\9c\92\88\85{t¬¢\98\8e\85\81{t¬¢\95\92\85\81wt¬¢\98\8e\85\81wq¬\9f\95\8e\85~wq¬\9f\95\8e\85\81tq©\9f\92\8e\85~tq©\9f\92\8b\85~tq©\9f\95\88\81{tm©\9f\95\88\85{tm©\9f\92\88\81~tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¢\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88~{qm¢\9c\92\88~{qj¢\9f\92\88~{qm¢\9c\8e\88~{qm¢\9c\8e\85~{qm¢\9c\8e\88~{qm¥\9c\92\88~wqj¥\9f\92\88~wqm¢\9c\8e\85~{qm¥\9c\92\85~wqj¢\9c\8e\85~{qm¥\9c\8e\88~wqj¢\9f\92\88~wmm¢\9c\92\85~wqj¢\9c\92\85~wqj\85~tmg`ZSMF<92/(%\1f\e\11\ e\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0"\e\15\v\ 4\ 4\0\092,("\1f\e\15PIC96/,(`VPFC962j]VPIC?9jd]SMI?<mg]VPFF?mg]VPMC?qj`ZPMF?tjdZSPICtmg]VPMF{mj`ZSPI~tjd]ZPP\81tmg]ZVP\85{qjd]VS\85~tjg]ZS\85~wmg`ZS\8b\81wmjd]Z\8b\85{qjg]Z\8b\85{qjg`Z\8e\85~qmg`]\8e\85~tmj`]\8e\88~tqjg]\92\88~wqjg`\92\8b\81{qjg]\92\8b\85{tjg`\95\8b\85{qmj`\95\8e\85{tjj`\98\8e\85{tmj`\95\8e\85~tmj`\98\8e\85~wmjg\98\8e\88~wqjg\9c\92\85~wqjd\9f\92\88\81wqjg\9c\92\88\81wqjg\9f\92\88\81wtmg\9f\92\88\81wtjg\9f\95\88\81{tmj\9f\92\8b\81{tmg\9f\95\8b\81{tmg\9f\92\8b\81{tmj\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\95\88\81~tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8e\85~tqj\9f\98\8b\85~tqj\9f\92\8e\85~wmj¢\98\8b\85{wqj\9f\98\8b\85{wqj\9f\98\8b\85~tqj\9f\98\8e\85{wqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85~wqjÝÓƼ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþûîçÝÓþñçÝÓÊüîáÓÊÀ¹³¬á×Æö¯©\9f×Ðù³©\9f\9c×Íù¯©\9f\98×ÍÀ¹¬©\9f\98ÓÊÀ¶¯©\9f\95Óʼ¶¬¥\9f\98Óƹ³©¢\9c\92Ðù¯©\9f\98\92Êù¯¢\9f\95\8eƼ³©¢\9c\92\8bƹ¯©\9f\98\8e\88ù¬¢\9c\92\8b\85¼¶©¢\98\92\88\85¼¶©\9f\95\92\88\81¼³¥\9f\92\8e\85\81¹³¢\9f\92\8b\85~¹¯¢\9c\8e\88\85~¹¯¢\9c\8e\88\85{¶¬\9f\98\8e\88\81{³¬\9f\98\8e\85~w³©\9f\95\8b\85~w¯©\9f\95\88\85~w¯¥\98\92\88\85{w¯¥\98\92\88\85{t\8e\88~wmj`]VPC?962,("\e\15\11\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0,"\e\15\11\ e\v\ 4?92/("\1f\1fSMC<66/,`ZPIC<96j`VPIF?9jdZSMFC<mg]SPIF?mg]ZPMF?qj`ZPPICtj`ZSPMCwmg]VSMF{qj`ZSPI~tjd]VSM\81wmg`ZSP\85wmj`]VP\85{tjg]ZS\88~tjg`]V\88\81tmj`]V\8b\85wmj`]Z\8b\85{qjd]Z\8e\85{tmg`]\8e\85{tjg`]\92\85~tmj`]\92\88~tmjd]\92\88~wqjg]\92\88\81wqjg]\95\8b\81wqjg`ÓƼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûñçá×ÐûîáÚÐƼ¹äÚÐƼ¶¬¥ÚÐù³©¢\9cÓƼ¶©¥\9f\95Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³¥¢\9c\92Ðƹ¯¥¢\98\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\92\8eƼ³¬\9f\9c\92\8bù¯¥\9f\98\8e\85À¹¬¢\9c\92\8b\85¼¶©¢\95\92\88\85¹¶©\9f\92\8e\85\81¹¯¥\9f\95\8b\85\81¹¯¥\9c\92\8b\85~¹¬¢\9c\92\88\85~¶¬\9f\9c\8e\88\81{³©\9f\95\8e\88\81{³©\9f\92\8b\85~{¯©\9f\95\8b\85~w¯©\9c\92\88\85~w¯¥\9c\92\88\85{t¬¥\9c\92\85\85{t¬¢\98\92\88\85{t¬¢\98\8e\85\81wt¬¢\98\8e\85~wq©\9f\95\8e\85~wq©\9f\95\8e\85~tq©¢\92\8b\85~wq©\9f\92\8b\85~tq¥\9f\95\8b\85~tm©\9f\92\88\81{tm©\9f\92\8b\81{tm¥\9f\92\88\81{qm¥\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{qm¥\9f\8e\88~{qm¥\9f\92\88~wqm¢\9c\8e\88~{qj¥\9c\92\88~wtm¢\9c\8e\85\81wqm¥\9c\8e\88~wqm¢\9c\8e\88~{qm¢\9c\8e\88~{qj¢\9c\8e\85~wqj¢\9c\92\85~{qj¢\9c\92\85~{qm¢\9c\8e\85~wqj¢\9c\8e\85~wqm\9f\9c\92\88~wqj¢\9c\8e\85~wqj¢\9c\8e\85{wqj¢\9c\8e\85~wqj¢\98\8e\85{{qj\85~tmd`ZSMF<6//,%"\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\18\11\ e\ 4\ 4\0\092,%"\1f\18\15PI?66/,(]VPF?<62g]VPIC<9j`ZPMFC<jd]SPFC?mg]VPIC?mg]VPMF?tj`ZSPICtjd]SPMFwqj]ZPPF{qj`]VPM~wjg]ZSP\85wqj`]SP\85{qjd]ZS\85~tjg`ZS\88~wmj`]V\8b\81wmjd]Z\8b\85wqjg]Z\8b\85{qjg`Z\8e\85~tmj`]\92\88~tmj`]\92\88\81wmjd]\92\88\81wqjg`\92\88\81wqjg`\95\8b\85{tmg`\95\8b\85{tmjd\98\8b\85{tmjd\98\8e\85{tmjd\98\8e\85~tqjg\98\8e\85~tmjd\9c\8e\85~wqjg\9c\8e\85~wqjg\9c\92\85\81tqjg\9c\92\88\81wtjg\9f\92\88\81wtjg\9f\92\88\81{tmg\9f\92\88\81{tmj\9f\92\88\81{tmg\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\98\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85~tqj\9f\95\8e\85~tqj¢\95\8b\85~wqj¢\98\8b\85{wqj\9f\95\8b\85~wqj¢\98\8b\85~wqjÝÓƼ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäáÓþñäÝÓÍƹëáÓÊù³¬ÝÓƼ¶¯©\9f×Íù¯©¢\9cÓÊÀ¶¬¥\9f\95Óʼ¶¬¥\9f\95ÓƼ³¬¢\9f\95Ðƹ³©¢\9f\92Ðƹ¯©\9f\9c\92Íù¯¥\9f\98\8eÊÀ¶¬¢\9c\95\8eƼ³©¢\95\92\88ù¯¥\9f\95\8e\85À¶¬¢\9c\92\8b\85¼³©\9f\98\92\88\85¹³¥\9f\95\8e\88\81¹¯¥\9c\92\8b\85~¹¯¢\98\92\88\85~¶¬¢\98\8e\88\85{¶¬\9f\95\8e\85\81w³©\9f\92\8e\85~w³©\9f\95\88\85~w¯¥\9c\92\88\85~t¯¥\9c\92\88\85{t¯¥\9c\8e\88\81{t¬¢\95\8e\88~wt¬¢\95\8e\85~wq¬¢\98\8e\85~wq¬\9f\95\8e\85\81wq©\9f\95\88\85~wq©\9f\92\8b\85~wm©\9f\95\8b\85~wq©\9f\92\8b\85{tq©\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\85\81{tm¥\9f\92\88\81{tj¥\9c\92\88\81{tm¥\9c\92\88~wtm¥\9c\92\88\81wtm¢\9f\92\85~wtm¢\9c\8e\85\81wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\8e\85~wqm¥\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85\81wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85{wqj\85~tjg]ZSMF<62/,%\1f\18\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\18\11\v\a\ 4\ 1\092,(\1f\1f\e\15PI?96/,(]VPF?<62j]VPIC<9j`ZSMFC<mg]SPIC?mg]VPIF?qj]ZPMF?tj`ZSPFCwjg]VPMF{mj`ZSPF{qj`]VPP\81wmg`ZVP\81wqj`]VP\85{qjg`ZS\85~tmg`ZV\88~wmjd]V\88\81wqjd]Z\8b\85{qjg`Z\8e\85{tmg`]\8e\85~tmj`]\8e\85~tmjd]\92\88\81wqjd]\95\88\81wqjg`\92\8b\85wqjg`\95\8b\85{qmg`\98\8e\85{tmg`\98\8e\85{tmj`\9c\92\85~tmgd\9c\8e\85{wmjd\9c\8e\85~wmjd\9c\92\85~wmjg\9c\8e\85~wtjg\9c\92\88~wqjg\9c\92\88\81wqmg\9f\92\88\81wqmg\9f\92\88\81wqjj\9c\92\88\81{tjj\9f\92\8b\81wtmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{wmj\9f\95\8b\85{wmj\9f\98\8b\85~tqj\9f\95\8e\85{wqj\9f\95\8b\85~wmj\9f\95\8b\85{wqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8b\85~wmj\9f\95\8b\85~tqm\9f\98\8e\85~wqjÝÓƼ¶¬¥\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓûñçÝÓÍüîáÓÊù³¬áÓʼ¹¯©\9fÚÍù¯©\9f\9f×ÊÀ¹¯¥\9f\95×ÊÀ¶¯¥\9f\98ÓƼ¶¬¥\9f\95ÓƼ³¬¥\9c\92Óƹ³©¢\9c\92Ðù¯¥\9f\95\92ÊÀ¶¬¢\9c\95\8eƼ¯©\9f\9c\92\88ù¯¥\9f\95\8b\88ù¬¢\9c\92\8b\85¼³©\9f\98\92\88\85¼³©\9f\95\8e\88\81¹¯¥\9f\95\8b\85~¹¯¢\9c\92\8b\85~¹¬¢\9c\92\88\85{¶¬\9f\95\92\88\85{³¬\9f\95\8e\85\81{³©\9f\92\8b\85~w³¥\9f\92\8b\85~w³©\9c\92\88\85{t¯¥\9c\92\88\85{t¬¥\98\8e\88\81{t¬¢\98\8e\85\81{q¬¢\98\8e\85\81{t¬¢\95\8e\85~wq¬\9f\92\8e\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85{wm©\9f\92\8b\85{tm©\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\8e\88\81{tj¢\9c\92\88\81wtm¥\9c\92\88\81wqj¢\9c\8e\88~wtm¢\9c\8e\88~wtj¢\9c\8e\88~wqj¢\9c\8e\85~wqj¥\9c\8e\85~wtj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¥\98\8e\85~wqj¢\9c\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85{tqj¢\98\8e\85{wqj¢\98\8b\85~wqj¢\98\8e\85{wqj¢\98\8e\85~tqj\85~tjd]ZPMC<62/,%\1f\e\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\092/("\1f\e\15PF?92//(]SPFC<62j`VPMC?9j`]SMIC<mg]SPMC<mg]VPMC?qg]VPMF?qj`ZSPICwmg]VPMFwmg`ZSPI{qjd]VPM~tmg`ZSP\81wqj`]VP\85{qjd]ZS\85{tjg`ZS\88\81wmg`]V\88\81wmjd]Z\8b\85wqjd]Z\8b\85{tjg`Z\8e\85{qmg`]\8e\85{tmgd]\92\88~tmjd]\92\88~wqjd]\92\88\81wqjg`\95\88\81wqjg`\95\8b\81{qmj`\98\8b\85{tmg`\98\8e\85~tmj`\98\8e\85~tmj`\98\8e\85~wmjd\98\8e\85~wmjg\9c\8e\85~wqjg\9c\8e\85~wqjg\9c\92\88~wqjg\9c\92\85\81wtjg\9f\92\88\81wtmg\9f\92\88\81wtjg\9c\92\88\81wtmg\9f\92\88\81{qmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\92\88\85{tmj\9f\92\88\81{tqj\9f\95\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85~tqj\9f\95\8b\85{tqj\9f\92\8b\85~tqj\9f\95\8b\85{tmj\9f\95\8b\85~wqj\9f\95\8b\85~wqj\9f\95\8b\85{wmj\9f\98\8e\85~wqj\9f\95\8b\85{wqj\9f\95\8b\85{wqj\9f\95\8e\85~wqjÝÓƼ³¬¥¥ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîçÝ×þôçáÓÍùëáÓÊù³¬ÝÓÆÀ¹¯¥\9f×Íù¯©\9f\9c×ÊÀ¹¯¥\9f\98Óʼ¶¬¥\9f\98Óʼ¶¬¥\9f\95ÓƼ³©¥\9c\92Ðƹ¯©¢\9c\92Ðù¬¥\9f\9c\92ÊÀ¶¬¢\9c\92\8bƹ³©\9f\98\92\88ù¯¥\9f\98\8e\85À¹¬\9f\9c\92\8b\85¼¶©\9f\95\92\88\81¼¯©\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\81~¶¬¢\98\8e\88\81{¹©\9f\95\8e\85\81{³©\9f\92\8e\85\81w¯¥\9f\92\8b\85~w¯¥\9c\92\8b\85~t¯¥\9c\92\88\85{w¯¢\98\8e\88\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81{t¬¢\95\8b\85~{q©\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\92\8b\85{wq©\9f\95\88\85{wq©\9f\92\88\85{tm©\9f\95\88\85{wm©\9f\92\88\81{tj¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81wqj¥\9c\92\88~wtm¥\9c\92\88\81wtm¥\9c\8e\88~{tj¥\9c\92\88~wqj¥\98\92\85\81wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wmj¢\95\8e\85~wqj¢\9c\8e\85~wmj¢\9c\8e\85~wqj¢\95\8e\85~wmj¢\98\8e\85~wqj¢\98\8e\85~wqj\85{tmd]ZSPF?62/,%\1f\e\15\11\a\a\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\v\a\ 4\ 192/("\1f\1f\18PIC96//,`ZPIC?96j`ZPIFC<jdZSMFC<md]VPMF?mg]SPIF?qj`VPMICqj`ZSPICtj`]VPIF{qg`ZSPI{tjd]SPM~wmg]ZSP\81wmj`]VP\85{qjd]ZS\85~tjg]ZS\85~tmg`]S\88\81wmj`]V\8b\85wqjd`Z\8e\85{qjg`Z\8b\85{qjg`]\8e\85{tmjd]\8e\85~wmjd]\92\88~tmjg]\92\88\81wqjd`\92\8b\81wqjg`\92\8b\81wtmg`\95\8e\85{qmj`\98\8e\85{tmj`\95\8e\85~tmjd\98\8e\85~tmjg\9c\8e\85~wqjd\9c\8e\85~wqjg\9c\8e\85~wqjg\9c\8e\85\81wqjg\9c\92\85~wqmg\9f\92\88~{tmj\9f\92\88\81wqmg\9c\92\88\81wtmj\9f\92\88\81{tmg\9f\92\88\81{qmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\92\88\85{tmj\9f\92\88\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\81~wqj\9f\95\8b\85{tmj\9f\92\8b\85{wmj\9f\92\8b\85{tqj\9f\98\8b\85{wqj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85{wqj\9f\95\8b\85{wqjÝÐƼ¶¬¥¶ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþûîçÝÓþôçÝÓÍüëáÓÊÀ¹³¬ÝÓƼ¶¯¥\9f×ÐÀ¹¯©\9f\9cÓÊÀ¶¬¥\9f\98ÓƼ¶¬¢\9f\95Óʼ¶¬¥\9c\95ÓƼ³©¢\9c\92Ðƹ¯©¢\9c\92Íù¯¥\9f\98\92ÆÀ³¬¢\9c\95\8eƼ¯©\9f\98\92\8bù¬¥\9c\92\8e\88À¹¬¢\9c\92\8b\85¼³©\9f\95\8e\88\81¹¯¥\9f\95\8e\88\81¹¯¢\9f\95\8b\85~¶¯¢\9c\92\88\85~³¬\9f\98\8e\88\85{³©\9f\95\8b\88\81{¯©\9f\95\8b\88~{¯¥\9c\95\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{t¬¥\95\92\88\81{t¬¢\9c\8e\85\81{t¬¢\95\8e\85\81wt¬¢\98\8e\85\81wq©¢\95\8e\85\81wt¬\9f\95\8b\85~wq©\9f\95\8b\85~wm¥\9f\92\8b\81~tq¥\9f\92\8b\85{tq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9c\8e\88~{tj¢\9f\92\88~{qj¥\9c\8e\85\81wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\88~wmj¢\9c\8e\85~wqj¢\98\92\85~wqj¢\9c\8b\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wmj¢\98\8e\85{wqj¢\98\8e\85{wqj\9f\9c\8e\85{wqj\9f\95\8e\85~wmj¢\98\8e\85~wqj\9f\98\8b\85~wqj\9f\95\8e\85~wqj\9f\98\8b\85{wqj¢\9c\8b\85{wqj\85~tjd`ZSPF?62/,("\e\15\ e\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0\1f\18\11\ e\ 4\ 4\0\092,("\1f\18\15PF?66/,(]VPF?962g]VPFC?9j`ZPMFC<jdZSMFC<md]SPIC?mj]VPMFCqj`ZPMFCtj`]VPIFwmg]ZSPF{qj`]SPM~tjd]ZSP\81wqj`ZSP\85wqj`]VP\85{tjd]ZS\85{tmj`]S\88\81wmg`]V\8b\81wqjd]Z\8b\85wqjd]Z\8b\85{tjg`]\8e\85~tmj`]\92\85~tmj`]\92\88~wmjg]\92\88\81wqjg`\92\88~wqmg`\95\8b\81wqmj`\95\8b\85wtmgd\95\8b\85{tmj`\95\8e\85{tmj`\98\8e\85~tqjd\98\8e\85~tqjg\95\8e\85~wqjg\9c\8e\85~wqjg\9c\92\85~wtjg\9c\8e\85~wqmg\9c\92\88\81wtmg\9c\92\88\81{tmg\9c\92\88\81{tmg\9f\92\88\81{tmg\9f\92\88\81{tmj\9f\92\88\81{tjg\9f\92\88\81wtqj\9f\92\88\81{tmg\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\92\88\85{wmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tqj\9f\95\88\85~wmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{wmj\9f\95\8b\85{wmj\9f\95\88\85{tmj\9f\95\8b\85{tqqÝÐƼ³¬¥ÆÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîçÝÓûñçÝÓÊùçÝÓÊÀ¹³¬ÝÓƼ³¯¥\9f×ÍÀ¹¬©\9f\98Óʼ¶¬¥\9f\98ÓƼ¶©¥\98\95ÓƼ³¬¢\9f\95ÓƼ³©¢\9f\95Íƹ³©¢\9c\92Êö¯¥\9f\98\8eÆÀ³¬¢\9c\92\8bƹ¯¥\9f\98\8e\8bù¬¥\9c\95\8e\85¼¶©¢\9c\92\88\85¼³©\9f\95\8e\88\85¹¯¥\9f\92\8e\88\81¹¯¢\9c\92\8b\85~¹¬¢\9c\92\88\85~¶¬\9f\98\8e\8b\85{³¬\9f\95\8b\88\81{³©\9f\92\8b\85\81w³©\9f\92\8b\85~w¯¥\9f\92\88\85{w¯¥\9c\92\88\85{t¯¥\9c\92\85\85{t¬¢\98\92\85\81{t¬¢\98\8e\85~wq¬¢\95\8e\85~wq©¢\95\8b\85~wm©\9f\92\8b\85~tq©\9f\92\8e\85{tq¥\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\88\81{tm¢\9c\92\88~{tm¢\9c\8e\88~{tj¢\9c\8e\85~{qm¥\9c\92\88~wqj¢\9c\8e\85~wqm¢\9c\8e\85~{qj¢\9c\8e\85~{qj\9f\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85{wqm\9f\9c\8b\85{wqj¢\98\8b\85~wqj\9f\95\8b\85~wqj¢\9c\8b\85~wqj\9f\98\8b\85{wqj\9f\98\8b\85{wqj\9f\98\8b\85{wmj¢\9c\8b\85{wqj\9f\98\8b\85~wmj¢\98\8e\85{wqj\85{qjd]ZPMC96/,(%\1f\18\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\06/,(\1f\1f\18\15PF<96/,(]SPF?962g]SPF?<9j`ZPMF?<jd]SMFC<jg]SPIF?mg]SPMC?mg`ZPPFCtjd]SPICtmg]VPMF{qj`ZSPI~tjd]VSP\81wmj`ZVP\85{qgd]VP\85{tjd]ZS\85~tjg`]V\88~wmjd]V\88\81wqjg]Z\8b\85{qjg`Z\8e\85{tmg`Z\8e\85{tmj`]\8e\88~wmjd]\92\88~wqjg`\92\88\81wqjg`\92\88\81wqmg`\92\8b\81wqmj`\95\8b\85{tmjd\95\8b\85{tmjd\98\8e\85{tmjd\98\8e\85~wmjd\9c\8e\85~wqjd\98\8e\85~wqjd\9c\92\85~wqjg\9c\8e\85~wqjd\9c\8e\88\81wqjg\9f\92\88\81wqmj\9c\92\88~wqjj\9c\92\88\81wqmj\9f\92\88\81wtmj\9f\92\88\81wtmj\9c\92\8b\81{tmj\9f\92\88\81{tmj\9f\92\8b\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\8b\81{wmg\9f\92\88\85{tmj\9f\92\8b\85{tmj\9f\95\88\85{tmj\9f\92\88\85~tmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\92\8b\85{tmj\9f\92\8b\85{wqj\9f\92\8b\85~tqj\9f\95\88\85~tq~ÚÓƹ³¯¢ÚÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøëäÝÓûñçÝÓÍüëÝÓʼ¹¯¬ÝÓƼ¶¬¥\9fÓÊÀ¶¬©\9f\9cÓʼ¶¬¥\9f\95ÓƼ¶¬¢\9f\95Óƹ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ¯¥\9f\98\92Íù¯¥\9f\95\8eƼ¶¬¢\9f\92\8eƼ¯©\9f\98\8e\8bù¬¥\9f\95\8e\85¼¶©¢\98\92\8b\85¼³©\9f\95\8e\88\81¹¯¥\9f\92\8e\85\81¹¯¥\9f\92\8e\85~¶¬¢\9c\8e\88\85~¶¬\9f\95\8e\88\81{³¬\9f\95\8b\85\81{³©\9f\92\8b\85~w¯¥\9c\92\8b\85~w¯¥\9f\8e\88\85{t¯¢\9c\8e\88\81{t¬¢\98\8e\85\85{t¬¥\95\8e\85\81{t¬¢\95\8b\85\81wq¬\9f\95\8e\85~wt©¢\95\8b\85~wq©¢\92\8b\85~wm©\9f\92\8b\81{tq©\9f\92\8b\81{tq¥\9f\92\8b\81~tm¥\9c\8e\88\81{tm¢\9f\92\88\81{tm¢\9f\8e\88~{tm¢\9c\8e\88~{qm¢\9c\92\88~{tm¢\98\8e\88~wtm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85{wqj\9f\9c\8b\85~wqj¢\98\8b\85~wqj¢\98\8e\85{wqj¢\98\8b\85~wmj\9f\98\8b\85{tmj¢\98\8e\85{wqj¢\98\8b\85{wmj\9f\98\8b\85{wmj\9f\98\8b\85{wqj\9f\95\8b\85~wmj\9f\98\8b\85{wmj\9f\98\8b\85{wmj\9f\98\8b\85{wqj\85{qj`]VSIC96//(%\1f\e\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\ e\a\ 4\ 4\0\062,%\1f\1f\18\15PF?62/,(]VMF?962g]SPFC<9j`ZPMF?<jdZSPIC?mg]SPMC?mg]VPMF?qj`ZSPICtj`]VPIFwmg]VSPI{qj`ZVPM~tjg`VSP\81wmj`]VP\81{qj`]VS\85{tjg]ZV\85~tmg`]V\88\81wmjd]Z\88\81wqjd]Z\8b\85wqjg]Z\8b\85{qmg`]\8e\85{tmg`]\92\85~tmjd]\8e\88~tmjd]\92\88~wmjd`\92\88\81wqjg`\95\8b\81wqmg`\95\8b\81{qmj`\95\8b\85{tmgd\95\8b\85{tmj`\95\8e\85{tmjd\98\8e\85{wmjg\98\8e\85{wqjg\98\8e\85~tqjg\9c\8e\85~wqjg\9c\92\85~wqjg\9c\8e\85\81wqjg\9c\8e\88\81wqjg\9c\92\88~wqjg\9c\92\85\81wqjj\9c\92\88\81wqjj\9c\92\88\81wqmj\9f\92\88\81{tjg\9f\95\88\81{tmj\9f\95\88\81{tmj\9f\95\88\81{tmj\9f\95\88\81{tqj\9f\95\88\85{tmj\9f\95\88\85{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85{wqj\9f\95\88\85{tqj\9f\95\8b\85{wmj\9f\95\88\85{wmj\9f\92\88\85~tqj\9f\92\8b\85~wqj\9f\95\8b\85{tq\8e×Ðù³¬¢îÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîçÝÓûôçÝÓÊùëÝÓÊÀ¹¯©ÝÓƼ¶¬¥\9f×Êù¬©\9f\9cÓƼ¶¬¥\9f\98ÓƼ¶¬¥\9f\95ÓƼ³¬¢\9f\95Óƹ³¬¢\9f\95Ðù¯©\9f\9c\92Íù¬¥\9f\98\8eƼ³©¢\9c\92\8bƹ¯¥\9f\98\8e\88ù¬¢\9f\92\8e\85À¶¬¢\98\92\8b\85¼³©\9f\95\8e\88\81¹¯¥\9f\92\8e\85~¹¯¥\9c\92\8b\85~¶¬¢\9c\92\88\85{¶©\9f\98\92\88\85~³©\9f\92\8e\85\81w³©\9f\95\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85{w¬¢\9c\92\88\85{t¬¢\9c\8e\88\81{t¬¢\95\8b\88\81{t©¢\98\8b\85\81wt©\9f\95\8b\85~wq©¢\95\8b\85~wq©\9f\92\8b\85~wm©\9f\92\88\85{wm¥\9f\92\8b\81~tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tm¢\9c\8e\85~wtm¢\9c\8e\88\81{qj¢\9c\92\88~{qj¥\9c\92\88\81wtj¢\9c\8e\85~{qm¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8b\85~wmj¢\98\8e\85~wmj¢\98\8e\85{wqj¢\98\8e\85~tqj¢\98\8e\85{wmj¢\95\8b\85~tqj¢\98\8e\85~tqj¢\98\8b\85{tmj\9f\98\8b\85~tmj¢\98\8e\85{tmj\9f\98\8b\85{tmj¢\98\8e\85~tqj\9f\95\8b\85{tqj\9f\95\8b\85{wmj¢\95\8b\85{tmj\85{qjd]ZPMC<6//(%\1f\18\11\ e\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\ 492,%"\1f\18\ePF<62//,]SPF?966g]VPF??9j`ZSMF??jdZSPIC?md]SPIF?mg]VPMFCqj`ZSPFFtjd]VPMFtjg]VPPI{qj`ZVPM~tmd]ZSP\81wmd`ZSP\81{qj`]ZV\85{qjd]ZV\85~tmg`ZV\88~tmj`]Z\8b\81tmj`]Z\88\81wqjd]]\8b\85{qjg]]\8e\85~tjg`]\8e\85{tmj`]\8e\85{tmj``\92\85~wqjdd\92\88\81wmjgd\92\88\81{qjgd\92\88\81wqmgd\95\8b\81{tjgg\95\8b\85{tmjg\95\8e\85{tmjg\98\8b\85{tqjg\98\8e\85{tmjg\98\8e\85~wqjj\9c\8e\85~tmjj\98\8e\85~tqjg\9c\8e\85~wqjj\9c\8e\85~wqjj\9c\92\85~wqjj\9c\92\85\81wtmj\9c\92\85\81wqmj\9c\92\88\81wtmj\9f\92\88\81wqmj\9c\92\88\81{tmj\9c\92\88\81wtmj\9f\92\88\81{tmm\9f\92\88\81{tmj\9f\92\88\81{tmj\9c\92\88\81{tmm\9f\92\88\85{tmm\9f\92\88\81{tmm\9f\95\88\81{tmm\9f\92\88\81{tqm\9f\92\8b\85{tmm\9f\92\88\85{wqm\9f\95\8b\85{tmm\9f\95\88\81{tmm\9f\95\8b\85{tq¥ÚÐü³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäÝÓûñçÝÓÍùëÝÓÆÀ¹¯©ÝÐƼ¶¬¥\9fÓÊÀ¹¯©\9f\95Óʼ¶¬¢\9f\98ÓƼ³¬¢\9f\98ÓƼ³©¢\9f\95Ðƹ³©¢\9c\92Íù¯¥\9f\9c\92ÍÀ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\95\8e\88ù¬¢\9c\92\8b\85¼³©\9f\98\92\88\85¹³¥\9f\95\8e\88\81¹¯¢\9c\92\8b\85\81¶¬¢\95\92\88\85~¶¬\9f\95\8e\88\81{³©\9f\95\8e\88\81{³©\9f\95\8b\85\81w¯¥\9f\92\88\85~w¯¥\9c\92\88\85~w¬¢\98\8e\88\81{t¬¢\98\8e\88\81{t¬¢\95\8e\85~{t©\9f\98\8b\85~{t©\9f\95\8b\85~tq©\9f\92\8b\85~wq©\9f\92\8b\85{wq¥\9f\92\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9c\8e\85\81wtm¥\9c\8e\85\81{qm¢\9c\8e\85~wtj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\98\8e\85~tqj¢\95\8e\85{tqj¢\98\8b\85{tmj¢\98\8b\85{tmj\9f\98\8b\85{tmj\9f\98\8b\85{tmj\9f\98\8b\85{tmj\9f\98\8b\85{wmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\8b\85{tmj\85{qjd]VPIC<62/(%\1f\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\18\15\ e\a\ 4\ 1\ e9/,("\1f\e"PF?92/,/]SPF?<69d]SPFC<<j`VPIF??j`ZSPFCCjd]SMFCCjg]SPICCqg]VPPFFqj`]SPFMtjg]VSMPwmg`ZSPP{tjd]VPS~tjg`ZSV\81wmj`ZVZ\85wqjd]V]\85{tjg`Z]\88~tmg`]]\88~wqj`]]\88\81wmjd]`\8b\85wqjg]d\8b\85wqjg`d\8e\85{tmgdg\8e\85{tmj`g\92\88~wmjdg\92\88~wmjg¥ÐƼ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûîäÝÓÍôëÝÓÊƹ¶á×ÊÀ¹³©¢Óʼ¹¯¥\9f\9fÍƹ¯©¢\98\95Íù¯¥\9f\98\95Êù¯¥\9f\98\92ÍÀ¹¬¥\9f\95\92ÊÀ¶¬¥\9f\95\92ÊÀ¶©¢\9f\92\8eƼ¯©\9f\98\92\8bù¯¥\9c\95\8e\88ù¬¢\9c\92\8b\88¼³©\9f\95\92\88\85¹³¥\9f\95\8e\85\85¹¯¥\9f\92\8b\85\81¶¬¢\9c\92\88\85~¶¬\9f\98\8e\88\85~³¬\9f\95\8e\85\81{³©\9f\92\8b\85~{¯¥\9f\92\8b\85{w¯¥\9c\92\88\85~w¬¥\9c\8e\88\81{t¬¢\95\8e\88\81{t¬¢\95\8e\85\81{t¬¢\98\8b\85\81wq¬¢\92\8b\85~wq\8b\85wqjg]ZSIC<6//,"\1f\18\11\ e\ 4\ 4\ 1\ 1\0\0\0\0\0\0\0\ e\ 4\ 4\0\0\0\0\ 1"\1f\18\11\v\a\ 4\e<6/("\1f\1f,PF?96/,6]SMF?96<dZSIF?<?g]VPIC?Cj`ZPMFCCjdZSPFCFmd]SPIFMqg]VPMFMtj`ZSPIPtmg]VPPSwqg`ZSPS{tjd]ZPZ~wmg]]SZ\81wmj`]Z]\85{qjd]Z`\85~tjg`Z`\85~tmg`]`\88~wmjd]d\8b\81wqjd]g\8b\85wqjd`j\8e\85{tmg`j\8e\85{tmg`j\8e\85~tmjdm\8e\88{tmjdm\92\88~wqjgm\92\88\81wqjgm\92\88\81wqjgm\92\88\81wqjjq\95\8b\85{qmjq\98\8b\85{tmjq\98\8b\85{tmjt\98\8e\85{wmjt\95\8e\85{wqjt\98\8e\85~tqjt\95\8e\85~wqjt\9c\8e\85~wqjt\9c\8e\85~wqjt\9c\8e\85~wqjt\9c\92\88\81wqjw\9c\92\85~wqjw\9c\92\88\81wtmt\9c\92\85\81wtjw\9c\92\88\81wqjw\9c\92\88\81wtmw\9c\92\88\81{tmw\9f\92\88\81wtmw\9f\92\88\81{tmw\9f\92\88\81{tm{\9f\95\88\85{tm{\9f\92\88\81{tm{\9f\92\88\81{tmw\9f\92\88\85{tm{\9f\92\88\81{tmw\9f\92\88\85{tm{\9f\92\88\81{wq{\9f\92\88\81{tm{\9f\92\88\81{tq¼ÚÍù¯¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôîÿÿþøîäÚÓþñäÚÐÊüçÝÓÆÀ¹¯¬ÝÓƼ³¬¥¢ÓÊÀ¹¬¥\9f\9fÓƼ¶¬¢\9f\9cÓƼ³¬¢\9f\9cÓƹ³©¢\9c\9cÐƹ¯©\9f\9c\95Íù¯¥\9f\95\95ÊÀ¹¬¢\9f\95\92Ƽ³©\9f\9c\92\8eù¯¥\9f\98\8e\8eÀ¹¬¢\9c\92\8b\88¼³©\9f\98\8e\88\85¹¯©\9f\95\8e\88\85¹¯¥\9c\92\8b\85\85¶¬¢\9c\8e\8b\85\81¶¬\9f\98\8e\88\81\81³¬\9f\95\8e\85\81~³©\9f\95\8b\85~~¯©\9c\92\8b\85~~¯¥\9c\92\88\85~w¬¢\9c\8e\88\85~w¬\9f\9c\8e\88\81{w¬¢\98\8e\85\81ww¬\9f\98\8b\85\81wq\8b\85{tjj`ZSMC?66/,(\1f\e\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 4\0\0\0\v("\e\15\11\ e\a(?6/,%"\1f6PIC96//?]VPF?<6Fd]SPF?<Fg]VPIC?Mj`ZPMFCMmdZSPFCPmd]VPICPqj`VPMFStj`]SPIZtmg]VPM]wqj`ZVP]~qjd]VP`~tmg`ZSd\81wmj`]Vg\85{qjd]Vj\85{qjg]Zj\85{tmg`]j\88~wmgd]m\88\81wmjd]m\8b\81wqjg]q\8b\85{qjg]q\8e\85{tmj`q\8e\85~tmjdt\92\85~wmjdt\92\88~wqjd¼Ðƹ³¬¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿþøîçÿÿøîäÝÓÍôëÝ×Êù¶á×Êù³©¥×ÊÀ¹¬©\9f\9fÍù¯©¢\9c\9fÍù¯©\9f\98\98ÍÀ¹¯¥\9f\98\9cÊÀ¹¯¥\9f\95\9cÊÀ¶¬¢\9f\95\98ʼ¶©¢\9c\95\95ƹ³©\9f\98\92\92ù¯¥\9f\95\8e\8e¼¶¬¢\9c\92\8b\8e¼³©\9f\95\8e\88\88¹³¥\9f\92\8e\88\88¹¬¢\9c\92\8e\85\85¶¬¢\98\92\88\85\85¶¬¢\95\8e\88\85\81¶©\9f\95\8b\88\81\81³©\9f\92\8b\85~~³¥\9c\92\8b\85~~¯¥\9c\92\88\85~~¬¢\98\8e\88\81{{¬¢\9c\8e\88\81{{¬¢\98\8b\85\81{w¬¢\95\8b\85~w{©\9f\92\8b\85~ww©\9f\92\8b\85~ww©\9f\92\88\85~ww¥\9c\92\88\85{tt©\9f\92\88\85{tw¥\9c\92\88\85{tt¥\9c\92\88\81{tt¥\9c\8e\88\81{qt¥\98\8e\85\81{qt¢\9c\8e\88~wqt¢\9c\8e\85~wqt¢\9c\8b\85~wqq¢\98\8e\85~wqq¢\95\8b\88~wqq\9f\98\8e\85~wqq¢\98\8b\85~wqq\9f\98\8b\85~wqq\9f\98\8e\85{wqq\9f\98\8b\85~wqq\9f\98\8e\85~wqq\9f\95\8b\85{wqq¢\95\8b\85{wqq\9f\95\8b\85~tqq\9f\95\8b\85{tqq\9f\95\88\85{wmq\9f\95\8b\85{tmq\9f\95\8b\85{tmm\9f\95\8b\85{wmq\9f\95\8b\85{tmq\9f\95\88\85{tmq\9f\95\88\85wtmq\9f\95\88\85{tmq\9f\95\88\85{tmj\85{qjd]VPIC96/,("\1f\18\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\v\1f\15\ e\a\ 4\ 4\0,6/,%\1f\e\18?MC<6//,MZSMC<96Pd]SMF?<Sg]VPIC?Sj`VPMF?SjdZPMICVmg]SPICZmj]ZPMF]qj`ZSPI`wjd]VPMgwmj]ZSPj{tj`]VPj~wmg]ZSm\81wmj`]Vq\85{qjd]Zq\85{tjd`Zt\85~tmg`]t\88~wmg`]w\88\81wmjd]w\8b\85wqjg`w\8b\85{qjg`{\8e\85{tjjd{\8e\85{tmjg~\8e\85{tmjd\81\92\85~wmjd\81\92\88\81wqjg\81\92\88\81wqjg\85\92\88\81{qmg\85\95\8b\81{tjj\85\95\8b\81{tmj\85\95\8b\85{tmg\88\95\8b\85{tmj\85\95\8e\85~tqj\88\95\8b\85{wqj\88\98\8e\85{tmj\88\98\8e\85{wqj\8b\98\8e\85~wqj\8b\98\8e\85~wqj\8b\9c\8e\85~wqj\8b\9c\8e\85\81wqm\8b\9c\8e\88~{qj\8b\9c\8e\85\81wqj\8b\9c\92\88~wqj\8b\9c\92\88~wtm\8e\9c\92\88~wtj\8b\9c\92\88\81wtm\8e\9c\92\88\81{qm\8e\9c\92\88\81{tm\8e\9f\92\88\81wtm\8e\9f\92\88\81{tm\8e\9f\92\88\81{tm\8e\9f\92\88\81{tm\8e\9f\92\88\81{tm\8e\9f\92\88\85{tm\8e\9f\92\88\85{tm\8e\9c\92\88\81{tm\8e\9f\92\88\85{tq××Íù¯¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûññÿÿûñëä××øîä×ÐÊÀ¼çÚÐÆÀ¹¯³×Ðü³¬¢¯ÓƼ¹¬¥\9f¯ÐƼ³©¢\9f¬Ðƹ³©¢\9f¬ÐƼ¯¬¢\9c©Íù¯©¢\9c¥Íù¯¥\9f\95¥ÊÀ¶¬¢\9f\95\9fƼ³©\9f\9c\92\9fù¯¥\9f\98\8e\9cÀ¶¬¢\98\92\8b\98¼³©\9f\98\8e\88\95¹¯¥\9c\92\8e\85\92¹¯¢\9f\92\8b\85\92¶¬¢\9c\8e\88\85\8e¶©¢\95\8e\85\81\8e³©\9f\92\8e\85~\88³¥\9f\92\8b\85~\88¯¥\9c\92\88\85~\88¬¥\9c\92\88\85{\85¬¢\98\8e\88\81{\85¯¢\9c\8e\88\81{\85©\9f\95\8e\85~{\85¬\9f\95\8e\85~w\85¬\9f\95\8b\85~w\85©\9f\95\8b\85~w\85¥\9f\92\8b\81~t\81¥\9f\92\88\81~t\81¥\9f\92\88\81{t~¥\9c\92\88\81{t~¢\9c\92\88\81{t~¥\9c\8e\88\81{t~¢\98\8e\85\81{t~¢\9c\8e\85~wt~¢\98\8e\85~wq{¢\98\8e\85\81wq{¢\95\8e\85\81wq{\9f\98\8e\85~wq{¢\95\8e\85~wq{\9f\95\8e\85~tq{\9f\95\8b\85{wm{\9f\98\8b\85~tm{\9f\98\8b\85{wm{\9f\95\8b\85~tm{\9f\95\8e\85~wm{\9f\98\8b\85{tm{\9f\95\8e\85{wq{\9f\95\8b\85{tm{\9f\95\8b\85{wm{\9f\95\8b\85{tm{\9f\95\88\85wtm{\9f\95\8b\85{tm{\9f\95\88\85{tm{\9f\95\88\85{tm{\9f\95\88\85{tm{\9f\92\88\85{tmj\85wqj`]ZPI?96/,("\1f\15\11\v\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\18\1f\15\ e\a\ 4\ 1\066/,"\1f\e\18MMC<62/,V]SMC?96]d]SPFC<`j]VPIF?`j`ZSMFCdj`ZSMICdmd]SPICgmg]ZPMFjqj`ZSPImtjg]VPPqwmj`ZSPt{tjd]ZPw~tjg]ZS{\81wqj`]S{\85{qjd]Z~\85{qjg]]\81\85~tjg`Z\85\85~wmjd]\85\88\81wmjd]\85\8b\81wqjg`\88\8e\85wqjg`\88\8e\85{tjg`\8b\8e\85{tmj`\8e\8e\85{tmj`\8e\8e\85~tqjdÓÐù¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîñÿÿøîäÝÓ×ôëÝÓÊùÃáÓÊÀ¹¯©¹Óʼ¶¬¥\9f³Íù¯©\9f\98³ÊÀ¹¯¥\9f\98¶Êö¬¥\9f\95³ÊÀ¶¬¢\9f\98³ÊÀ¶¬¢\9f\95¯Æ¼³©¢\9c\92¬Æ¹³¥\9f\98\92©Ã¹¯¥\9f\95\8e¢À¶¬¢\9c\92\8e¢¼³©\9f\98\8e\88\9f¹¯¢\9c\92\8e\85\9f¹¬¢\9c\92\8b\85\9c¶¬¢\95\8e\88\85\98³©\9f\95\8e\85\81\95³©\9f\95\8e\85~\95¯¥\9c\92\8b\85{\95¯¥\9c\92\88\85{\92¬¢\98\92\88\85{\92¬¢\98\8e\88\81{\8e¬\9f\98\8b\85~{\8e©\9f\95\8b\85~{\8e©\9f\92\8b\85~w\8b©\9f\92\8b\81~ww\8b\85wqjd]ZPI?<62/,"\1f\15\11\v\a\a\ 1\ 1\0\0\0\0\0\0\a\ e\ 4\ 1\0\0\0\0%"\1f\15\11\v\a\ 4?96,("\1f\ePPF<62/,]ZSIC<96ddZPMC?9gg]SPFC?gj`VPIC?gj`ZPMFCjjdZSPFCmmg]VPMFqqj]ZSPFttjd]SPMwwmg]ZSP{{qjd]VP~~tmg]ZS\85\81wmg`]V\85\81{qj`]V\85\85{qjd]Z\88\85~tjg`]\88\88~wmjd]\8b\88\81wmjd]\8b\88\81wqjg`\8e\8b\85wqjg`\8e\8b\85{tjg`\8e\8e\85{tmg`\92\8e\85~tmj`\92\92\88~wmjd\92\92\88~wqjg\92\92\88~wqjg\95\92\88\81wqmg\95\92\8b\81{tmg\95\92\8b\81{qmj\98\92\8b\85{tmj\98\98\8b\85{tmj\9c\95\8b\85{tmj\9c\98\8e\85~tqj\9c\95\8e\85{wmj\9f\98\8e\85~wqj\9f\98\8e\85~wqj\9f\98\8e\85~wqj\9f\9c\8e\85~wqj\9f\9c\8e\85~wqj\9f\9c\92\85~wqj\9f\9c\8e\85\81wqj\9f\9c\8e\85~wqm\9f\9c\92\88~{qj\9f\9c\92\88\81wqm\9f\9c\92\88\81{tm\9f\9f\92\88\81wqm\9f\9c\92\88\81{tm¢\9c\8e\88\85wtm\9f\9c\92\88\81{tm\9f\9f\92\8b\81wtm\9f\9f\92\88\81{tm¢\9c\92\88\81{tm¢\9c\92\88\81{tm¢\9c\95\88\81{tm¢\9f\92\88\81{tm¢\9f\92\88\85{tmáÚÍù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôþÿÿþôîáÚäûîäÚÐÆÀÓçÚÓƼ¹¯ÆÚÐƹ³¬¥ÃÓƼ¶¬¥\9fÃÐƹ³¬¥\9fÃÐƹ³©¢\9cÃÐƹ¯©¢\9cÀÍù¯©¢\9c¼Íù¬¥\9f\98¹Ê¼¶¬¢\9c\95¹Æ¹³©¢\9c\92³Ã¹¯¥\9f\95\8e³À¶¬¢\98\92\8b¯¼³©\9f\95\92\88¬¹¯¥\9c\92\8e\85¬¹¯¢\9c\92\8b\85¥¶¬¢\98\8e\88\85¥¶©\9f\95\8e\88\81¥³©\9f\95\8b\85\81¥³©\9f\92\8b\85~¢¯¥\9c\92\88\85~\9f¯¥\9f\92\88\85{\9f¯¢\9c\8e\88\85{\9f¯\9f\98\8e\88\81{\9f¬\9f\98\8b\85\81w\9c¬\9f\95\8b\85~w\9c©\9f\95\8b\85~w\9c©\9f\92\8b\85~t\9c©\9f\92\8b\85{t\9c©\9f\92\88\81{t\98¥\9f\92\88\81{t\98¥\9f\92\88\81~t\95¥\9f\8e\88\81{t\98¢\98\8e\88\81{t\95¥\98\92\85\81{t\95¥\9c\92\85\81wt\95¢\9c\92\85\81wt\95¢\9c\8e\88\81wq\92¢\9c\8e\85\81wt\92¢\98\8e\85~wq\92¢\95\8e\85~wq\92¢\95\8e\85~wq\92¢\98\8e\85~wq\92¢\95\8e\85~wq\92\9f\95\8b\85~wq\92¢\95\8b\85~wq\92¢\95\8b\85~tq\92\9f\95\8b\85~tm\8e\9f\95\8b\85{tm\92\9f\95\8b\85~wq\92\9f\95\8b\85~wq\92\9f\98\8b\85{wm\92\9f\98\8b\85{tm\92\9f\95\8b\85{tm\92\9f\95\8b\85~tm\92\9f\95\8b\85{wm\92\9f\95\88\85{tm\92\9f\95\8b\85{tmt\85{qjg]VPIC96//("\1f\18\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0%\1f\15\11\v\ 4\ 4\0C6/,%\1f\1f\18ZPF<62/,gZSMF<96md]SPIC<qj`VPIF?tj`ZSMFCqjdZSPICtmg]VPIFwmg]ZPMF{tj`ZVPI~tmd]ZPM\81{mj`ZSP\85{tjd]VS\88~tjg`]S\88\81wqj`]Z\8b\85{qj`]Z\8e\85{qjd`Z\8e\85~tmjd]\92\88~tmjd]\92\88\81wqjd]\95\8b\81wqjg`\95\8b\85{tmgd\98\8e\85{qmjd\98\92\85~tmjd\9c\8e\85~tqjg\9c\92\85~wqjd\9f\92\88\81wmjg\9f\92\8b\81{tjg\9f\92\88\81{tmg\9f\95\8b\85{tmj\9f\95\8b\85{tmj¢\95\8b\85{tmj¢\98\8e\85{tmj¢\98\8b\85{wmj¢\98\8e\85~tmj¢\98\8e\85~tmj¥\9c\8e\85~wqj¥\9c\8e\85~wqj¥\9c\8e\85~wqj¥\9c\92\85~wqj¥\9c\92\85~wqm¥\9c\8e\88\81wqm¥\9c\8e\88~wqm¥\9c\92\85~wqm©\9c\92\88~wtm©\9c\92\88\81wqj©\9c\92\85\81wtm©\9c\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\95\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tq¬\9f\92\88\85{tm©\9f\92\88\85{tm©\9f\95\88\81{tmä×Íù¯©¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûôëá×ñûîäÚÐÊÀáçÝÐƼ¹¯×ÚÐù³¬¥ÓÓʼ¶¬¥\9fÓÓƹ³¬¥\9cÓÐƹ³©¢\9fÓÐƹ³©¢\9fÓÐù¯©\9f\98ÐÍù¯¥\9f\95ÊÊö¬¢\9f\95ÆƼ³©¢\9c\92Æù¯¥\9f\95\8eÀÀ¹¬¢\9c\92\8b¼¼³©\9f\98\92\8b¹¹¯¥\9f\95\8e\85¹¹¯¢\9c\92\8b\85¶¹¬¢\98\92\88\85¶¶¬\9f\98\8e\88\85³³©\9f\95\8b\85\81³¯¥\9f\92\8b\85~¯¯¥\9f\92\88\85~¯¯¢\9c\92\8b\85{¬¯¢\9c\8e\85\85{¬¬¢\98\8e\85\81{¬¬¢\95\8e\88~{¬©\9f\95\8b\85\81w©©\9f\92\8b\85~w¥©\9f\92\88\85~w¥¥\9c\92\8b\81{w¥¥\9c\92\88\81{w¥¥\9f\92\88\81{t¥¥\9f\92\88\81{t¢¥\9f\92\88\81{t¢¥\9c\8e\88\81{t¢¢\9c\8e\88\81{t¢¥\9c\8e\85\81{t¢¢\98\8e\85~wq¢¢\98\8e\85~wq\9f\9f\98\8e\85~{q\9f¢\98\8e\85~wq\9f\9f\98\8e\85~wm\9f\9f\95\8b\85~wq\9f\9f\95\8b\85~wq\9f\9f\95\8b\85~wq¢\9f\95\8b\85{wm¢\9f\98\8b\85{wq\9f\9f\98\8b\85~tm¢\9f\95\88\85{wm\9f\9f\95\8b\81{tm\9f\9f\95\8b\85{tm\9f\9f\95\8b\85{wm\9f\9f\95\8b\85{tm\9f\9f\95\8b\81{tm\9f\9f\92\88\81{tm\9f\9f\95\8b\85{tm\9f\9f\95\88\85{tm\9f\9f\95\88\81{tm\9f\9f\95\8b\81{tm~\85{qjd]VPI?<6/,("\1f\15\ e\v\a\ 4\0\0\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0(\1f\15\ e\a\ 4\ 1\0C6/(%\1f\1f\18ZMF<62/,j]PIC?96qg]SMF?<tj]VPMC?tj`ZSMFCwjdZSPFCwmdZSPMC{mg]ZPPF~qj`ZSPM\81tjg]VSM\85wqg`ZVP\88{qjd]VS\8b~wmg]ZS\8e\81wmg`]V\8e\85{qjd`Z\92\85{tjg]Z\92\85~tmg`Z\95\88~tmj`]\98\88\81wmjd]\98\88\81{qjd]\9c\8b\85{qjg]\9c\8e\85{tjg`\9c\8e\85{tmgd\9f\92\85~wmjd\9f\92\85~wqjd\9f\92\88~wmjg\9f\95\88\81wqjg\9f\92\88\81wqjg¢\92\88\81{tjj¢\95\8e\85{tmj¢\95\88\85{tmj¢\98\8b\85~tmj¥\98\8b\85{wmj¥\98\8b\85~tmj¥\98\8e\85{wqj¥\98\8e\85~tqj¥\98\8e\85~wqj¥\98\8e\85~wqj©\9c\8e\88~wqj©\9c\92\85~wqj©\9c\92\85\81wqj©\9c\92\85\81wtj©\98\92\88~{qj©\9c\92\88\81wtj©\9c\92\88\81{qj©\9c\92\88\81wtm©\9c\92\85\81wtm©\9f\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tq©\9c\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tmç×Íù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþøîäÚþûîäÚÐÊÀîçÝÐƼ¹¯äÚÐƹ³¯¥áÓʼ¶¯¥\9fÝÐƼ³¬¢\9fÝÐƹ¯©¢\9cÝÐƹ¯©¢\9cÚÍù¯©\9f\98×Íù¯¥\9f\98ÓÊÀ¶¬¢\9f\92Ðƹ³©\9f\98\92Íù¬¥\9f\92\8eʼ¶©¢\9c\92\8bƼ³¥\9f\95\8e\88ù¯¥\9f\92\8e\85ù¯¢\9c\92\8b\85¼¶¬\9f\95\8e\88\85¼³©\9f\95\8b\85\81¼³©\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¹¬¢\9c\92\88\85{¹¬¢\95\8e\88\85{¶¬¢\95\8e\85\81{³¬\9f\95\8b\85~{³©\9f\95\8b\85~w¯©\9f\92\8b\85~w¯¥\9f\92\8b\81{t¯¥\9f\92\88\81{t¯¥\9c\92\88\81{w¬¢\9c\92\88\81{t¬¢\9c\92\88\81{t¯¢\9c\8e\85~wq¬¢\9c\8e\85~{q¬¢\9c\8e\85\81wq¯¢\9c\8e\85~wq¬¢\98\8e\85~wq¬¢\98\8e\85~wq¯\9f\98\8e\85~wq¬\9f\98\8b\85{wq¬¢\95\8b\85~wq¬\9f\98\8b\85{tm¬¢\95\8b\85{tq¬\9f\95\88\85{tq¬\9f\95\8b\85{tm¬\9f\92\8b\85{tm¬\9f\92\88\81{tm¬\9f\95\88\81{tm¬\9f\95\8b\85{tm¬\9f\92\8b\85{tm¬\9f\95\8b\81{tm¬\9f\95\88\81{tm¬\9f\95\88\81{tm©\9f\95\8b\85{tm©\9f\95\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81wtm©\9f\92\88\81{tm\85\81wmj`]VPI?96//("\1f\18\11\v\ 4\ 4\0\ 1\0\0\0\0\0\0\0\11\ 4\ 1\0\0\0\0\0(\1f\18\11\ e\ 4\ 4\ 1?6/,%\1f\1f\eZPF<66/,j]SMF?96mgZSPFC<tj]ZPMFCwj`ZSMICwjdZSPIC{jd]SPIC{mg]VPMF~qj`ZSPI\81tjd]VPM\85wmg]ZSP\85wqj`ZVP\8b~tmg]ZS\8b\81wmg`]S\8e\81wqjdZZ\92\85{qjd`Z\92\85{tjg`]\95\85~tmgd]\95\88\81wmjd]\98\88\81wqjg]\98\8b\85wqjg]\9c\8e\81{tjg`\9c\8e\85{tmg`\9f\8e\85~tmj`\9f\8e\85~wmjd\9f\92\88~tqjd\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqmg¢\92\8b\85wqmj¢\95\8b\81{tmg¢\95\8b\85{tmg¢\95\8b\85{tmj¢\95\8e\85{tmj¢\98\8b\85~tqj¥\9c\8e\85~wqj¥\98\8b\85~tqj¥\98\8e\85~wqj¥\98\8e\85~wqj¥\9c\8e\85\81wqj¥\98\8e\85~wtm¥\9c\8e\85~wtm¥\9c\8e\85~{tj¥\9c\8e\85~wqm¥\9c\92\85~wqm©\9c\8e\85\81wtm©\9c\92\88\81wqj©\9c\92\85~wqj©\9c\92\88\81{tm©\9c\92\88\81wqj©\9c\92\88\81{qm©\9f\92\88\81{tm©\9f\92\88\81wtm©\9f\92\88\81wqm©\9f\92\88\81{tj©\9c\92\88\81{tm©\9c\92\88\81{tmä×Íù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþôëá×ÿûîäÚÐÆÀôçÝÐƼ¹¯ë×ÍƼ³¬¢äÓƼ¶¬¥\9fáÐƼ³©¢\9fáÐù³¬¢\98áÐù¯©¢\9cÝÐù¯©\9f\9cÚÍÀ¹¬¥\9f\95ÚƼ¶¬¢\9f\92Óƹ¯©\9f\95\92ÐÀ¹¯¢\9f\95\8eͼ¶¬¢\98\92\88͹³¥\9f\95\8e\88ƹ¯¥\9c\92\8e\85ƹ¬¢\9c\92\8b\85ù¬\9f\98\92\88\85ó©\9f\92\8e\88\81À³©\9f\92\8b\85\81¼¯¥\9c\92\8b\85~¼¯¢\98\92\88\85~¼¬¢\98\8e\88\81{¹¬¢\98\8b\85\81{¹¬\9f\95\8e\85\81w¹¬\9f\98\8b\85~{¹©\9f\95\8b\85~w¹©\9f\95\88\85~w¹¥\9f\92\88\85{t¶¥\9f\92\88\85{t¶¥\9c\92\88\81{t³¥\9c\92\88\81{t¶¥\98\8e\88\81{t³¢\9c\92\88\81wt³¢\9c\8e\85\81wt³¥\95\8e\85~wq³¢\98\8e\85~wq³¢\98\8e\85~wt¯¢\98\8e\85~tm¯\9f\95\8b\85~wq¯¢\98\8b\85~tq¯\9f\95\8b\81~tq¯\9f\95\8b\85{tq¯\9f\98\8b\85{tm¯\9f\95\8b\85{tm¬\9f\92\8e\85{tm¬\9f\95\8b\85~tm¬\9f\92\8b\81{wm¬\9f\95\8b\81{tm¬\9f\92\8b\85{tm¯\9f\95\8b\85{tm¬\9f\95\88\85{tm¯\9f\92\88\81{tm¬\9f\95\88\81{tq¬\9f\95\8b\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\85{tm¬\9f\92\8b\81{tm\8e\85wqjd]VPMC96//,(\1f\e\11\ e\v\a\ 4\ 4\0\0\0\0\0\0\0\11\a\ 1\0\0\0\0\0(\1f\18\11\v\a\ 4\ 1?6/,%\1f\1f\18VMF<62/(g]SMC<96mdZSMF?9qg]VPIC?tj`ZPIF?tj`ZPMFCwj`ZSPIC{mg]VPMF~qg]ZSPF~tj`]VPI\85wmg]ZSP\85{qj`]VP\88~tjg]ZP\8b~wmg`ZV\8b\81wmj`]V\8e\85{qjd]V\92\85{tjg`]\92\85~tmg`]\95\88~tmgd]\95\88\81wqjd]\98\88\81wqjg`\98\8b\85{tjg`\9c\8b\85{qmj`\9c\8e\85~tmj`\9c\92\85~tmjd\9f\92\88~wqjg\9f\92\88~wqjd\9f\92\88~wqjg\9f\92\8b\81wqmj\9f\92\8b\85{qmg¢\95\8b\81{tmg¢\95\8b\85~tmj¢\95\8b\85{tmj¢\95\8b\85~wqj¢\95\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¥\98\8e\85~wqm¥\98\8e\85~wqj¥\9c\8e\85\81wqj©\9c\8e\85~wqj¥\9c\92\85~wqj¥\98\92\85~wtm¥\9c\8e\85~wtm¥\9c\8e\85\81wqm©\9c\92\88~wtm¥\9c\8e\85~wtj¥\9c\92\88\81wtm¥\9f\92\88\81{tm©\9c\92\88\81{qm©\9c\92\88\81wtm©\9f\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81{tmç×Êù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿþôëáÚÿûîä×ÐÆÀôçÚÐƼ¶¯ëÚÍù³¬¢áÓƼ³¬¥\9fáÐƹ¯©¢\9fáÐù¯©¢\9cÝÐù¯©\9f\9cÝÍù¯¥\9f\9cÚÊÀ¶¬¥\9f\95×Ƽ³¬¢\9c\92Óƹ¯¥\9f\98\92ÐÀ¹¬¢\9f\92\8eͼ³©\9f\9c\92\88ʹ¯¥\9f\92\92\88ƹ¬¢\9c\92\8b\85ƹ©¢\98\92\88\85ö©\9f\95\8e\88\85À³©\9f\92\8e\85\81À³©\9f\95\8b\85\81À¯¥\9f\92\8b\85~¼¯¥\9c\8e\88\85~¼¯¢\98\8e\88\81~¹¬\9f\9c\8e\88\81{¹¬\9f\95\8e\85~{¹¬\9f\95\8b\85~w¹¬\9f\92\8b\85~w¹©\9f\95\88\85~t¶¥\9f\92\88\85{t¶¥\9f\92\88\81{t¶¥\9c\92\88\81wt³¢\9c\8e\88\81{t³¢\98\8e\85\81{t³¢\9c\8e\85~wq³¢\98\8e\85\81wt³¢\98\8e\85~wq³¢\98\8e\85~tq¯¢\98\8e\85~tq¯¢\95\8e\85~wq¯¢\98\8b\85~wq¯\9f\95\8b\85~wm¯\9f\92\8b\85{tq¯¢\95\8b\85{tq¯\9f\92\8b\81{tm¬\9f\92\8b\85{tm¬\9f\92\8b\81{tm¬\9f\92\8b\81{tm¬\9f\92\8b\85{tm¬\9f\92\88\81{tm¬\9f\95\8b\85{tm¬\9f\92\88\81{tm¯\9f\95\8b\85{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{qj¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81wqm\92\81wmj`]VPIC96/,%"\1f\15\11\v\ 4\ 4\0\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/,"\1f\e\15SMC962/(dZPIF<96j`]PMC?9qg]VPIC?qj`VPMFCtj`ZSMF?tj`ZSPICwmg]VPIF{qj`ZSMF~tj`ZVPM\81wmg]ZSP\85wqj`ZSP\85{tjg]ZS\88~tmg]]S\8b\81wmj`]Z\8e\85{qjd]Z\8e\85{tjg]]\92\85~tmg`]\92\85~tmjd]\92\88\81wqjg]\95\88\81wqjg`\95\8b\85{qjg`\98\8e\85{tmj`\98\8e\85{tmj`\9c\8e\85~tmjg\9c\8e\88~wqjd\9c\8e\85~wqjg\9f\92\88\81wqmg\9f\92\88\81{qmg\9f\92\88\81wqmg\9f\92\8b\81wtmg¢\95\8b\85{tjg\9f\92\88\81{tmj¢\95\8b\85{tmj¢\95\8e\85~tqg\9f\95\8e\85{tqj¢\98\8b\85~wqj¢\98\8e\85~tqj¢\98\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~tqj¢\9c\8e\85~wqj¢\98\8e\85~{qm¢\9c\8e\85~wqj¢\9c\92\85\81wtj¥\9c\92\88~wqm¢\9c\8e\88\81wqj¥\9c\92\85\81wqm¥\9c\92\85\81{tm¥\9c\92\88\81wtm¥\9f\92\85~wtm¥\9c\92\88\81wqm¢\9c\92\88\81{tm¥\9c\92\88\85{tm¢\9c\92\88\81{tmä×Êù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëáÚÿøîá×ÐÆÀôäÚÍƼ¶¯çÚÍù³¬¢ÝÓƼ³¬¥\9fÚÐƹ³©¢\9fÚÐù¯©¢\9cÚÍù¯©\9f\9cÚÊù¬©\9f\98×ÊÀ¹¬¥\9f\98ÓƼ¶©¢\98\92Óƹ³¥\9f\98\92Ðù¬¢\9f\92\8eÊÀ³©\9f\9c\92\8bʹ³©\9f\98\92\88ƹ¯¥\9c\95\8b\85ù¬¢\98\92\88\85ö©\9f\95\8e\88\85À³©\9f\98\8e\88\81À³¥\9f\92\8b\85~¼¯¥\9c\92\88\85~¹¯¢\98\8e\88\81~¹¬¢\9c\8e\88\85{¹¬\9f\98\8e\88~{¹¬\9f\98\8b\85~w¶©\9f\95\8b\85~w¶©\9f\95\8b\85~t³¥\9f\95\88\85~t¶¥\9c\92\88\85{t³¥\9c\92\88\81{t³¥\9c\92\85\81{t¯¥\9c\8e\85\81{t¯¥\98\8e\85~wq¯¢\95\8e\85~wq¯¢\98\8b\85~wq¯\9f\95\8e\85~tq¯\9f\95\8e\85~wq¬\9f\95\8b\85~wq¬¢\95\8b\85~wq¬\9f\95\8b\85{wq¬\9f\92\8b\85~tq¬\9f\95\8b\81~tm©\9f\95\8b\81{tq©\9f\92\8b\81{tq©\9f\92\88\81{tq©\9f\92\8b\81{tm©\9f\92\88\81{tm¬\9f\95\88\81{qm¬\9f\92\88\81{tm¬\9f\92\88\81{qm©\9f\92\88\81{tm©\9f\92\88\81{tj©\9f\92\88\81{tm¬\9c\92\88\81wtm©\9f\92\88\81{qm¬\9f\92\88\81{qm©\9f\92\88~{qm©\9f\92\88\81{qj©\9f\92\88~{qj\8e\81wmg`ZSPI?62/,%"\e\15\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\15\ e\a\ 4\0\0<6/("\1f\e\15SIC962/(`ZPIC<66jdZPIF?9mg]VPIC<qj`ZPIF?qj`ZPMFCtjdZSPICtjg]SPIFwmg]ZPPI{tj`]SPI~tmg]ZSP\85wqj`ZVP\85{qjd]VS\88~tmg`ZS\88\81wmj`]V\88\81{qj`]Z\8e\85{qjd]Z\8e\85~tmg`]\92\85~tmj`]\92\88~wmjd]\92\8b\81wmjg]\95\8b\85{qjg`\95\8b\85{tjg`\95\8b\85{tmg`\98\8e\85~tmj`\9c\8e\85~tmjd\9c\92\85~tmjd\9c\92\85~wmjg\9c\92\88~wqjd\9c\92\88~wqjg\9f\95\88\81wqjg\9f\95\88\81wtmg\9f\95\8b\81wtmg\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj¢\95\8b\85{tmj\9f\95\8b\85~tmj\9f\95\8b\85~tqj¢\98\8e\85{wmj¢\95\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wmj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~{tj¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\9c\92\85~wtj¢\9c\8e\85\81wtm¢\9c\92\88~wtj¢\9c\92\88\81{qmáÓÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçá×ÿøîá×ÐÆÀñä×ÐƼ¶¬ä×Íù¯¬¢ÝÓƼ³¬¢\9fÚÐù¯©¢\9cÚÐù¯©¢\9cÚÍù¯©\9f\9c×Íù¬¥\9f\98ÓÊÀ¹¬¢\9f\95Óʼ³©¢\9c\92Ðƹ¯¥\9f\95\8eÊÀ¶¬¢\9c\92\8eƼ³©\9f\98\92\8bƹ¯¥\9f\92\8b\85ù¬¢\9c\92\8b\85À¶¬\9f\95\92\88\85À³©\9f\95\8e\88\81¼¯©\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\98\92\88\85~¹¯¢\9c\8e\88\81{¹¬\9f\98\8b\88\81{¶¬\9f\95\8b\85~w¶©\9f\98\88\85~{³©\9f\92\88\85~w³©\9f\95\88\85{w³¥\9f\92\88\85~t¯¥\9c\92\88~{t¯¥\9c\92\88\85{t¯¢\9c\92\85\81{t¯¢\9c\92\85\81{q¯¢\98\8e\85\81wt¬¢\95\8e\85\81wt¬¢\98\8b\85~wq¬¢\95\8b\85~wq¬\9f\98\8b\85~wq¬\9f\95\8e\85~wm©\9f\95\8b\85~tm©\9f\92\8b\85~wm©\9f\92\8b\81{tm¬\9f\92\8b\85{tm©\9f\95\88\85{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\95\88\81wtq©\9f\92\88\81{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{qj¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81wtm©\9f\92\88\81wqj¥\9c\92\88\81wtm©\9c\92\85\81wtj©\9f\92\88\81wqj\8b\81wmg`]SPI?92/,%"\1f\15\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/("\1f\e\15SM?962,,dZPIC<96jdZPMC?<mg]VPIC?qj]VPMFCqj`ZPMFCqjdZSPICtjg]VPMFwmj]ZSPI{tj`]VPM~tmg]ZSP\85{mj`]VP\85{tjd]ZS\85~tmg`ZS\88\81wmj`]V\88\85wmj`]V\8b\85{qjd]Z\8e\85{tjg`Z\8e\85~tmg`]\8e\88~wmjd]\92\88\81wqjd]\92\8b\81wqjg]\92\8b\81wtjg]\95\8e\85{qjg`\95\8e\85{tmj`\98\8e\85{tmj`\98\8e\85~wmjd\98\92\85~wqjg\9c\92\88\81wqjg\9c\92\88\81{qjg\9f\92\88\81{qjg\9f\92\88\81wtjg\9f\95\88\81wqmg\9f\92\8b\85{tmg\9f\95\8b\81wtmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj¢\95\8e\85{tmj\9f\98\8e\85{tmj\9f\98\8e\85~wqj\9f\95\8e\85~wmj\9f\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqm¢\9c\92\85~wqj¢\9c\92\85~wqj¢\9c\8e\85~{tm¢\98\92\85~wqm¢\98\8e\88~wtj¥\9c\92\85\81wtj¢\9c\8e\85\81wtmáÓÊÀ¹¯©\9fÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëä×ÿûîá×ÍƼñäÚÐü¶¬ä×Íù³¬¢ÝÓƼ³¬¢\9fÚÐù¯©¢\9cÚÍù¯¥\9f\98×Íù¯¥¢\98ÚÊù¬¥\9f\98×ÊÀ¶¬¥\9f\95ÓƼ³©¢\9c\95Ðƹ¯¥\9f\98\8eÊÀ¶©¢\9f\92\8bƼ³©\9f\98\92\88ù³¥\9f\95\8e\85ù¬¢\9c\92\8b\85¼¶©\9f\98\92\88\85¼³©\9f\92\8e\88\81¼¯©\9c\92\8e\85~¹¯¥\9c\92\8b\85~¹¬¥\9c\92\88\85~¹¬¢\98\92\88\85{¶¬¢\95\8e\88\81{¶¬¢\98\8e\85\81{³©\9f\95\8b\85~w³¥\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\81{t¯¢\9c\8e\85\81wt¬¥\98\92\88~{t¬¢\98\8e\85~wt¬¢\95\8e\85~wq©¢\95\8e\85~wq¬¢\98\8b\85~wq¬¢\95\8b\85~wm¬\9f\95\8b\85~wm©\9f\95\8b\85{wq©\9f\95\8b\85{tm©\9f\95\8b\85{tm©\9f\98\8b\85{tm©\9f\92\88\81{tm©\9f\92\8b\81{tm©\9f\92\8b\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tj¥\9f\92\88\81wtm¥\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81wtj©\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tj¥\9f\92\88\81wtm¥\9f\95\88\81{qm¥\9f\92\88\81wtj¥\9c\92\88\81wtj¥\9f\92\85\81wtm¥\9f\92\88\81wtj\88~wmj`]SPI?96/,("\1f\18\11\v\ e\ 4\ 1\ 1\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\1f\15\11\v\a\ 4\ 1<6/,"\1f\1f\18SMC<62/,`ZPIC<96j`ZPMFC9mg]VPIC?qg]ZPIF?qj`ZPMFCqj`ZSPFCtjd]SPMCwmg]VSMF{qjdZSPM~tjd]ZPM\81{qj`ZVP\85{qj`]VP\85~tjg`ZS\88~wmj`]V\8b\81wmjd]V\8b\85{qjd]Z\8e\85{qjg]]\8e\85~tjj`]\92\88~tmgd]\92\88\81wqjd]\92\88\81wqjg]\92\8b\85wqmg]\98\8b\85{tmj`\98\8b\85~tjj`\9c\8e\85~tmj`\9c\8e\85~wqjg\9c\92\85~wqjg\9c\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81{qjg\9f\92\88\81{tmg\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\92\8b\81{tmj\9f\95\8b\85~tmj\9f\98\8b\85{tqj\9f\98\8b\85~wmj\9f\98\8b\85~wqj\9f\95\8e\85~wqj\9f\98\8b\85~tqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wmj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\88~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¥\9c\8e\85\81wqj¥\9c\8e\85\81wtj¢\9c\8e\85~wtj¢\9c\92\85~wtj¢\9c\92\88~wtmáÓÊù¯©\9fÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëá×ÿøîá×ÍÆÀîäÚÊü¶¬ä×Íù³©¢ÝÐƼ³¬¢\9cÚÍù¯©¢\9cÚÍù¯¥\9f\9c×Íù¯¥\9f\95×ÍÀ¶¯¥\9f\95ÓÊÀ¶¬¥\9f\92Ðƹ³©¢\9c\92Êù¯¥\9f\95\8eÊö¬¢\9c\92\8eƼ³©\9f\98\8e\88ù¯¥\9f\95\8b\85À¹¬¢\9c\92\88\85¼¶©¢\98\92\88\85¼³©\9f\95\8e\85\81¹³¥\9f\92\8b\85~¹¯¥\9f\92\88\85~¹¬¢\9c\92\88\85~¶¬¢\98\8e\88\81~³¬\9f\98\8e\88\81{³¬\9f\98\8e\85\81{³©\9f\98\8b\85{{¯©\9f\92\88\85{w¯©\9f\92\88\85~w\92\8b\81wmjd]ZPF?96/,,"\1f\15\11\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0("\1f\15\ e\v\a\ 4C96,("\1f\eVPF<62/,`ZPIC<66j`ZPIC?9md]SPFC<mg]VPIC?mj`VPMF?qj`ZPPFCtjd]VPMCwmg]ZSPF{tj`ZSPM~tjd]VSP\81wqj`ZSP\85{qj`]ZP\88~tjg]ZS\88\81wmg`]S\8b\81wqjd]V\8b\85{qjg]Z\8e\85~tmg`Z\8e\85~tmj`]\92\88~wmjd]\92\88\81wmjd]\95\88\85wqjg`\95\8b\81wtjg`\95\8b\85wtmj`\95\8e\85{wmjdÓÊÀ¶¯¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñîÿÿþôëáÚÓûñäÚÐÆÀ¹çÝÓƼ¶¯©ÝÐƼ³¬¢\9f×Êù¯¥\9f\98ÓÊÀ³¬¢\9f\92ÓƼ³¬¢\9c\95ÓƼ³¬¢\9f\95ÓƼ³©¢\98\92Óƹ¯©\9f\98\92Ðù¯¥\9f\95\8eÊÀ³¬¢\9c\92\8eƼ³©\9f\98\8e\88ù¯¥\9c\98\8b\88¼¹¬¢\98\92\8b\85¼¶©\9f\98\8e\88\85¼³¥\9f\95\8e\85\81¹³¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¹¬¢\98\92\88\85{¶¬¢\98\8e\88\81{¶©\9f\95\8b\85\81{¶©\9f\95\8e\85~w³©\9f\95\88\85~w³©\9f\92\88\85~t¯¥\9f\92\88\81{t¯¥\9f\8e\88\81~t\92\88\81wqjd]ZPI?962/("\1f\15\11\v\a\a\a\ 4\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,%\1f\18\15\ e\v\a?96/,%"\1fSPC<96/,`ZSIC<96j`ZPMC?9mg]SPIC<mg]VPIF?qj]VPIC?qj`ZPMFCtjd]SPICwmg]VPPF{qj`ZSPI~tmd]VPM\81wmj`]SP\85{qjd]VP\85~tjg]ZS\85~tmg`]V\8b\81wqg`]V\8b\85{qjd]Z\8e\85{qjg`Z\8e\85~tmg`]\92\85~tmjd]\92\88~wmjg]\95\88\81wqjg]\95\8b\81wtjg]\95\8b\85{qjg`\98\8e\85{tjj`×ʼ¶¬¥\9f\95ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôîáÚÐûîäÚÐÆÀ¹çÝÐÆÀ¶¯©ÚÓƹ³¬¥\9fÓÊö¬¥\9f\95ÓƼ¶¬¢\9f\95ÓƼ³¬¢\9f\95ÓƼ³©¢\9f\95Ðƹ³©\9f\98\95Ðù¯©\9f\98\92Íù¬¢\9f\95\8eÆÀ¶©¢\9c\92\8bƹ³¥\9f\95\92\88ù¯¢\9f\92\8e\88À¶¬¢\9c\92\8b\85¼¶©\9f\98\8e\88\81¹³©\9f\95\8b\85~¼³¥\9f\92\8b\85~¹¯¥\9c\92\88\85~¹¬¢\9c\92\88\81{¹¬¢\98\8e\88\81{¶¬\9f\95\8e\85\81{¶©\9f\92\8b\85~w³©\9f\92\88\85~w¯¥\9f\92\88\85~w¯¥\9f\92\88\85{t¯¥\9f\8e\88\81{w¬¥\9c\8e\88\85{q¯¥\9c\8e\88\81wq¬¢\95\8e\85\81wq¬¢\98\8e\85~wq¬¢\95\8b\85~wq¬\9f\98\8b\85~wm¬\9f\98\8b\85~wq©\9f\95\8b\85{wm©\9f\95\8b\85{wm©\9f\92\88\85{wm©\9f\95\88\85{tm©\9f\92\88\85{tm©\9f\95\8b\81{tm¥\9f\92\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9c\92\88\81{tj¥\9f\92\88\81wtm¢\9f\92\88\81{tm¥\9f\92\85\81wtm¢\9f\92\88~wtj¢\9c\92\88~wtj¥\9c\92\88~wqj¥\9c\8e\88\81wqj¢\9f\92\85~wtj¥\9c\92\85\81wtj¥\9f\92\85~wqj\88\81tmg`]SPF?62/,(\1f\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0\1f\e\11\ e\ 4\ 4\0\0<6,("\1f\e\15PI?96/,(`ZPFC<66j`ZPIC?9md]SPFC<mg]VPIF?mg`VPMF?qj`ZSMF?tj`ZSPICwmg]VPMF{qj`ZSPI~tjg]VPM\85wmj`ZSP\85wqjd]ZP\85{tjg]ZS\88\81wmj`]V\88\81wqjd]V\8b\85wqjd`Z\8e\85{qjg`Z\8e\85~tmj`]\8e\88~tmjd]\92\88~wqjg]\95\88\81wqjg`\95\88\85wqjg`\95\8b\81wqmg`\95\8e\85{tmj`Óʼ¹¬¢\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôëÿÿþôëáÚÐûñäÚÐÆÀ¹çÝÓƹ¶¬©ÚÓƼ³¬¢\9f×ÊÀ¹¬¥\9c\98Óʼ¶¬¢\9f\92ÓƼ³©¢\9c\95ÓƼ³©¢\9c\95Ðƹ³©¢\9c\92Ðƹ³¥¢\95\92Íù¯¢\9f\92\8eʼ³¬\9f\98\92\8bƼ³©\9f\95\92\88ù¯¥\9c\92\8b\85À¹¬¥\98\92\8b\85¼¶¬\9f\95\8e\88\85¼³©\9f\95\8b\85\81¹³¥\9c\92\8b\85~¹¯¥\9c\92\8b\85~¹¬¢\9c\92\88\85~¶¬\9f\98\8e\88\81{¶©\9f\95\8b\85~{³©\9f\95\88\85~w¯©\9f\95\8b\85~w³¥\9f\92\88\85~w¯¥\9f\92\88\85{t¯¥\9c\92\88\81{t\92\88\81tmjd]ZPF?962,,"\1f\15\ e\v\a\ 4\a\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,"\1f\18\11\ e\v\ 4C92/,%"\eSPF?92/,`ZSIC<96j`ZPIF?9jd]SMFC<mg]SPFC<mj`VPMF?qj`ZPMFCtjg]SPICwmg]VPPF{qj`ZSPI~tjd]VPM\81wmj]ZSP\85{qjd]SP\85~tmd]ZS\85~wjg`]S\88\81wmj`]V\8b\81{qjd]Z\8e\85{qjd`Z\8e\85~tjg`]\8e\88~tmj`]\92\88~wmjd]\92\88\81wqjd]\95\8b\85wqjg]\95\8b\85{qmj`\98\8b\85{tmg`\95\8e\85{tmg`\9c\8e\85~tmjd\9c\8e\85~tmjd\9c\8e\85~wqjd\9c\92\88~wqjg\9c\92\8b~wmjg\9f\92\88\81wtmg\9f\95\88\81wqmg\9f\92\88\81{tmj\9f\92\8b\81wtmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8e\81{wmj\9f\95\8b\85{wqj\9f\98\8e\85~tqj\9f\98\8e\85~tqj\9f\98\8b\85{tmj\9f\95\8e\85~wqj\9f\98\8e\85~wmj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wmj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\9c\92\85\81{qj¢\98\8e\88~wqm¢\9c\8e\85~wqmá×ÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿûñëÝ×ÿûîá×ÐÆÀîä×Íü¶¬á×Êù³©¢ÚÐƹ³¬¢\9fÚÐù¯©\9f\9c×ÐÀ¹¬¥\9f\95ÓÍÀ¹¯©\9f\98ÓÊÀ¹¬¥\9f\98Óʼ¶¬¢\9f\95Ðƹ³©¢\9c\92Íù¯¥\9f\98\8eÊÀ¶¬¢\9c\92\8eƼ¯©\9f\9c\8e\8bù¯¥\9f\92\8e\88À¹¬¢\9c\95\8b\85¼¶©\9f\98\8e\88\85¹³¥\9f\95\8e\88\81¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\8e\85~¹¬¢\9c\8e\8b\81~¶¬\9f\9c\8e\88\81{¶¬\9f\9c\8e\88\81{³¬\9f\95\8b\85\81{³¬\9f\95\8b\88~{³©\9c\92\8b\85~w¯¥\9f\95\88\85~w³©\98\95\88\85{w¯¥\9c\92\88\85~t¯¥\95\8e\85\81{t¬¢\98\8e\85\81{q¬¥\95\8e\85\81{t¬¢\98\8e\85~wt¬¢\95\8e\85~wq©¢\92\8b\85~wq©\9f\92\8e\85~wq©\9f\92\8b\85~wm©\9f\92\8b\85~tm©\9f\92\8e\85~tm©\9f\92\8b\81{tq©\9f\92\8b\81{tq©\9f\92\8b\85{tm©\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{qm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88~{tm¥\9f\92\88\81{tj¥\9f\92\88\81wtj¥\9c\8e\85\81wtm¥\9c\92\88\81wtj¥\9f\8e\88~{tm¥\9c\92\88\81wtm¥\9c\8e\88\81{tm¥\9c\92\88~wtj\88\81wmj`]VPI?96/,("\1f\15\11\v\ 4\ 4\ 1\ 1\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\e\11\ e\a\ 4\ 1\0<6/("\1f\e\15PIC96//(]ZPIC<92j`ZPIC?9md]SPIC<mg]VPIF?qj]VPMF?tj`ZSMF?tjd]SPICwmg]VPPF{qj`ZSPM~wmg]ZSP\81wmj`ZSP\85{qj`]ZP\85{tmd]ZS\88\81wmjd]V\8b\81wmjd]Z\8b\85{qjg`Z\8e\85{tjg`]\8e\85~tjg`]\92\88~wmjd]\92\88~wqjg]\92\88\81wqjg`\95\8b\81{tjj`\95\8b\85{tmg`\98\8e\85~tmjd\98\8e\85~tmjd\98\8e\85~tmjd\98\8e\85~wqjg\9c\92\88~wqjg\9c\92\88\81wqjg\9c\92\88\81wtmg\9f\92\88\81{tjj\9f\95\88\81{tmj\9f\92\8b\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8e\85~tqj¢\95\8e\85~tqj\9f\98\8e\85~wmj\9f\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85{wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\92\85~wqj¢\98\92\85~wqj¢\9c\8e\85~wqm¢\9c\92\85~wqm¢\98\92\85~wqj¢\9c\8e\88~wqmáÓÊÀ¶¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûîçÝ×þøîá×ÍÆÀîä×Íù³¬áÓÍù¯©¢ÚÐƹ³¬¢\9f×Íù¯©\9f\9c×Êù¬¥\9f\98ÓÍÀ¹¬¥\9f\98ÓÊÀ¶¬¥\9f\95ÓƼ¶©¢\98\95Ðƹ³¥¢\95\95Êù¯¢\9f\95\8eÆÀ¶¬¢\9c\92\8bƼ¯©\9f\98\8e\8bù¯¥\9c\95\8b\85À¹¬¢\9c\92\88\85¼¶©\9f\95\92\88\85¼³©\9f\95\8e\85\81¹³¥\9f\92\8e\85~¹¯¥\9f\92\8b\85~¹¯¢\98\92\8b\85~¶¬¢\98\8e\88\81{³¬\9f\95\8e\88~{¶©\9f\95\8b\85~w³©\9f\92\8b\85{w³©\9f\92\88\85{w¯¥\9f\92\88\85{t¯¥\9c\92\88\81{t¯¥\9c\92\85\81wt¯¢\9c\8e\85\81{t¬¢\9c\8e\85\81wq¬¢\98\8e\85\81wt¬¢\95\8e\85~wt¬\9f\95\8e\85~wq¬\9f\92\8e\85~tq©\9f\92\8b\85~tm©\9f\92\8b\85~tq©\9f\92\8b\81~tq©\9f\92\8b\81{tq©\9f\92\8b\85{wm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm©\9f\92\88\81{qm¥\9f\92\8b\85{tm©\9f\92\88\81{tq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\8e\88\81{qm¥\9f\8e\88\81wqm¥\9f\8e\88~{qm¥\9f\8e\85~{qm¥\9c\92\88\81wqm¥\9f\8e\88~{qj¥\9f\8e\85~wtj¢\9c\8e\85~wtj¥\9c\8e\85\81wqj\88\81tmg]ZSPF?62/,("\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\0\0\0\0\0\0\0\1f\e\11\v\a\ 4\ 1\096,("\1f\18\15PI?96//(`ZPIC<62j`VPIC?9mg]SPFC<mg]VPIF?mj]ZPMF?qj`VPMFCtj`ZSPICwmg]VPPFwqg`]SPI~tjd]ZSM\81wmg`ZVP\85{mjd]ZS\85~tjd]ZS\88\81tmg`]S\88\81wqj`]V\8b\81wqjg]Z\8e\85{tmg`Z\8e\85~tmg`]\92\85~tmjd]\92\88~wmjd]\92\88\81wqjg]\95\88\81{tjg`\92\8b\85{tmj`\95\8b\85{tjj`Óʼ¹¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôëÿÿþôëáÚÓûîä×ÓÆÀ¹çÝÐÆÀ¶¯©ÚÐƹ³¬¢\9f×ʼ¶¬¥\9f\98Óʼ¶¬¢\9f\92Óƹ³¬¢\9f\92Óʼ³©¢\9c\92Óƹ³©¢\9f\92Ðƹ¯¥\9f\98\92Êù¬¢\9f\98\8bʼ¶¬¢\98\95\88Ƽ³©\9f\98\92\88ù¯¥\9f\95\8e\85ù¬¢\9c\92\8b\85¼¶©\9f\98\8e\88\85¼³¥\9f\95\8e\88\81¹³¥\9c\92\8e\85~¹¯¢\9c\92\88\85{¹¬¢\98\92\88\85{¶¬\9f\98\8e\85\81{¶¬\9f\95\8e\85\81w³©\9f\92\8b\85~w³©\9f\92\8b\85~w³¥\9f\92\88\85~t¯¥\9c\92\88\85~t¬¥\9c\92\88\81{t\92\88~tmjd]VPF?962,("\1f\15\11\ e\a\ 4\v\ 4\0\0\0\0\0\0\15\ e\a\ 4\ 1\0\0\0,%\1f\18\15\ e\v\aC92/(%\1f\1fSPC<62/,`ZPIC<96g`VPFC<9jd]SPIC<md]SPIC?qg]VPMF?qj`ZSMFCtjdZSPICwmg]VPMFwqj`ZSPI{tjd]VPP\81wmj]ZSP\85{qj`]VP\85{tjd]ZS\88~wjg`ZS\88\81wmjd]Z\8b\85wqjd]Z\8b\85{tjg]Z\8e\85{tmj`]\8e\85~tmj`]\92\88\81wmj`]\95\88\81wmjd`\92\8b\85wqjg`\92\8b\85{qjg`\95\8b\85{tjjd\98\8e\85{tmg`\95\8e\85{tmjd\9c\92\85~tqjd\98\8e\88~wqjg\9c\8e\88~wqjg\9c\92\88~wqjj\9f\92\88\81wqmg\9c\92\88\81{qmj\9f\92\88\81{tmg\9f\92\8b\81{tmj\9f\92\8b\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{wmj\9f\95\8e\85{tqj\9f\95\8e\85~wqj\9f\95\8e\85{tqj¢\98\8e\85~wqj¢\95\8e\85{tmj\9f\98\8e\85{wmj¢\98\92\85~wmj¢\98\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~wqj¢\98\92\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\92\85~wmj¢\9c\92\85~wqjáÓÊÀ¶¯¥¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçáÚÿøîá×ÍƼîä×Íü³¬á×ÊÀ¹¯©\9fÚÓƹ³©¢\9c×Íù¯©\9f\98×ÍÀ¹¬¥\9f\98×ÊÀ¹¯¥\9f\98×ÊÀ¶¬¥\9f\98ÓƼ³¬¢\9c\92Ðƹ¯©¢\9c\92ÍÀ¹¬¥\9f\95\8eƼ¶©¢\9c\92\8bƹ³©\9f\98\92\88ù¬¢\9c\92\8e\88À¶¬¢\9c\92\88\85¼³©\9f\98\8e\88\81¹³©\9f\95\8e\88\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¹¬¢\98\92\88\85{¶¬¢\98\8e\88\81{¶¬\9f\98\8e\85~{¶¬\9f\95\8e\85\81w³©\9f\95\8b\85\81w¯¥\9f\92\8b\85~w³¥\9f\92\8b\85{w\92\88\81wmgd]VPF?96/,("\e\15\ e\v\a\ 4\a\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0,"\e\15\11\ e\v\ 4?92/(%\1f\eVPC?96/,`ZPIC?96j`ZPIF?9jdZSPFC<mg]VPIF?qj]VPIF?tj`ZSPFCtmd]SPMFwmg]ZPMF{qjd]VPM~tjg]ZSM\81{mj`]VP\85{qjd]VS\85~tjg`ZS\88\81tmj`]V\8b\81wmjd]Z\8b\85{qjg`Z\8b\85{qjg`Z\8e\85~tmg`]\92\85~tmjd]\92\88~wqjd]\95\8b~wqjg`\92\88\81wqjj`\95\8b\85{qmj`\95\8b\85{tmj`Óʼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñîÿÿþôëá×ÓûîáÚÐÆÀ¹çÝÐƼ¹¬¥ÚÐù³¬¢\9fÓʼ¶¬¥\9f\95Óƹ³©¢\9c\92Óƹ³©¢\9c\92Ðƹ³©¢\9c\92Óƹ¯¥¢\9c\92Íƹ¯¥\9f\95\92Êù¬¢\9f\92\8eÆÀ³©¢\9c\92\8eƼ¯©\9f\98\8e\88ù¬¥\9f\92\8b\85À¶¬¢\95\92\88\85¼³©\9f\98\8e\88\81¹³¢\9f\92\8b\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\8e\88\85~¶¬\9f\98\8e\88\81{¶¬\9f\95\8b\88\81{³¬\9f\95\8e\85~w¯©\9f\92\8b\85~w¯©\9c\92\88\85{w¯¥\9c\92\88\85~t¯¥\9c\92\88\81{t¬¥\98\8e\85\81{t\92\88{tmjd]VPF?962/("\e\15\11\v\v\ 4\v\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,%\1f\18\11\ e\v\a?92/(%"\eSPC<62/,]ZPIC<96g`VPIC<9j`ZSMFC<mg]SPIC?mg]VPIF?qj]ZPMFCtj`ZSPICwmg]VPMF{qj`ZSPF~tj`]VPM\81wmg]ZSP\85wqj`ZVP\85{qjd]ZS\88~tmg`]V\88\81wmg`]V\8b\85wmjd]Z\8e\85{qjg`Z\8e\85{tjg`Z\8e\85~tmg`]\92\88~tmjd]\92\88\81wmjd]\95\8b\81wqjg`\92\8b\85{qjg`\95\8b\85{tmg`ÓƼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñçÿÿûñçá×Ðûîä×ÍÆÀ¹çÚÐƼ¶¬©ÚÐƹ³©¢\9cÓʼ³¬¥\9f\95Óƹ³©¢\9c\92ÐƼ³©¢\9c\92Ðƹ³©¢\98\92Ðƹ³¥\9f\95\92Êù¯¥\9f\95\92Êö¬¢\9f\95\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\95\8e\88ù¬¥\9c\92\8b\85À¹¬¢\98\92\8b\85¼¶©\9f\92\92\85\85¹³¥\9f\95\8b\85\81¹¯¥\9f\92\8e\85~¹¯¢\9c\92\88\85~¶¬¢\9c\8e\88\81{³¬\9f\95\8e\88\81{³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯©\9f\92\88\85{w¯¥\9c\92\88\85{w¯¥\9c\92\88\85{t¬¥\9c\8e\85\81wt\8e\88~wmj`ZSPF?96/,(\1f\e\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\a\ 4\0\0\0\0\0("\e\11\ e\v\ 4\ 4?92,("\1f\eSMC<62/,`ZPI?<96j]ZPIC?6jd]SPFC<jg]VPIC?mg]VPMC?qj`ZPMFCtj`ZSPICtmg]VPPFwqj`ZSPI~tjd]VPM\81wqg`ZSP\85{qjd]VP\85{tjd`ZS\88~tjg`ZV\88\81wmgd]V\8b\81{qjd]Z\8b\85{tjg]Z\8e\85~qjj`]\8e\88{tmj`]\92\88~wmjd]\92\88\81wqjd]\92\88\81wqjg]\95\88\85{qjg`\98\8b\85{tjg`ÓÊÀ¶¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþñçä×ÐûñäÚÍƼ¹çÚÐƼ¶¬¥×Ðù³¬¢\9fÓƼ¶¬¥\9f\95ÓƼ³©¢\9c\92ÐƼ³©¢\9c\92Óƹ³©¢\9f\95Ðƹ³©¢\98\92Íƹ¯¥\9f\95\92Êö¬¢\9f\92\8eƼ³¬\9f\9c\8e\8bù¯©\9f\95\8e\88ù¬¥\9c\95\8b\85¼¶©¢\95\8e\88\85¼³©\9f\98\8e\88\81¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85\81¹¬¢\9c\92\8b\81~¹¬\9f\9c\8e\88\85~³¬\9f\98\8e\88\81{³©\9f\95\8b\85~w¯©\9f\92\88\85~w¯©\9c\92\88\85~w¯¥\9c\92\88\85{w¬¥\9c\92\85\85{t¯¢\98\8e\85\81{t\8e\85{tjj`]SPF<66/,(\1f\18\11\ e\a\v\ 1\ 4\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\e\15\ e\v\ 4\ 4<6/,%"\1f\eSM?962/,]VPFC<62j`VPIC?9j`ZSMFC<jgZSPFC<mg]SPIF?qg]VPIF?qj`ZSPICwmd]VPMF{qj`ZSPI{tjd]VPM~wmg`ZVP\81wqj`]VP\85{qjd]ZS\85~tjg`ZS\88\81wmg`]V\88\81wqjd]Z\8b\85{qjg`Z\8e\85{tjg`Z\8e\85{wmj`]\92\88~tmjd]\92\88~wmjg]\95\88\81wqjg]\95\88\81{qjg`\98\8b\81{tmj`Óʼ¶¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿøñçÝ×Ðøîá×ÍƼ¹äÚÍƼ¶¯¥×Ðù¯¬¢\9fÓʼ¶¬¢\9f\95Óƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³¥¢\9c\92Ðƹ¯©¢\98\92Ðƹ¯¥\9f\95\92Êö¬¢\9f\92\8eƼ³©\9f\9c\92\8bƹ¯©\9f\95\92\88ù¬¥\9c\92\8b\85À¹©¢\98\92\88\85¼³¥\9f\95\8e\88\81¹³¥\9f\92\8e\85~¹¯¢\9c\92\8b\85~¶¬\9f\9c\8e\8b\85{¶¯\9f\98\8e\88\81{³¬\9f\98\8e\88\81{³©\9f\95\8b\85\81w¯©\9f\92\88\85~w¯©\9f\92\88\85{w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{t¯¢\9c\8e\85\81{t\92\88~tmj`]SPF?66/,(\1f\e\11\ e\v\a\ 4\ 4\0\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0("\e\15\ e\v\a\ 4?92,("\1f\eSPC<66/,`ZPIC<92j]VPIC?9jd]SMIC?mg]SPIF?mj]VPMF?qj`ZSPFCtj`ZVPMFwmg]VPMF{qj`ZSPI~tjd]VSM~wmg`]SP\85{qj`]VP\85{qjd]VS\85~tjg]]V\88~wmj`]V\88\81wqjd]V\8e\85{qjd]Z\8e\85~tjg`Z\8e\85~tmj`]\8e\85~tmj`]\92\88\81wqjd]\92\88\81wqjg]\95\8b\81wqjg`\92\8b\85wqjg`ÓÊÀ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþñçáÓÐûîá×ÍƼ¶çÚÍƹ¶¬¥×Ðù¯¬¢\9cÓʼ¶¬¢\9f\98Ðƹ³©¢\9c\92Ðƹ³©¢\95\92Ðƹ³¥\9f\98\92Íƹ¯¥¢\98\92Êù¯¥\9f\95\8eÆÀ¶¬¢\9c\92\8eƼ¯©\9f\98\92\8bù¯¥\9c\95\8e\88À¹¬¢\9c\92\88\85¼¶©\9f\95\92\88\81¹³¥\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\8e\8b\85{¶¬\9f\98\8e\88\81{¶¬\9f\95\8b\88\81{³©\9f\95\8e\85{{¯©\9f\92\88\85~w³©\9c\92\88\85{w¯¥\9c\92\88\85{t¯¥\9c\92\85\81{t¬¢\98\92\85\81{t¬¢\98\8e\85\81wq¬¢\98\8e\85\81wq¬¢\98\8e\85~wq¬¢\95\8e\85~wq©\9f\95\8b\81~tq©\9f\95\8b\85{tq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\95\88\81{qm¥\9f\92\88~{qm¥\9f\92\88~wqm¥\9f\92\88\81{qm¥\9c\92\85~{qj¥\9c\92\88~{qj¥\9c\8e\88~wqm¢\9c\8e\88~wqj¥\9c\8e\88~wqj¢\9c\8e\88~{qj¥\9c\8e\85~{qj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~{qj¢\9c\8e\85~{qj¢\9c\8e\85~wqj¢\9c\8e\88~wqm¢\9c\8b\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~tqj\85{tjd]ZSPF<62,,%\1f\18\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\a\ 4\0\092,(\1f\1f\18\11PF?96/,(]VPF?962g]VPIC?9jdZSMFC<md]SPFC?mg]SPIC?mj]VPMF?qj`ZPPF?wmd]VPMCwmg]ZSMF{qj`ZVPI~wjg]ZSP\81wmj`]SP\85{qjd]ZP\85~tjg`ZS\88~wmj`]S\88\81wmgd]Z\8b\85wqjd]Z\8e\85{tjg`Z\8e\85{tmj`Z\92\85~tmjd]\92\88~tqjd]\92\88~wqjg]\92\88\81{qjj`\95\88\81wtjg`ÓƼ³¬¢\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþûîçÿÿøñäÝÓÐôîÝÓÊƼ¹äÚÍƹ¶¬¥ÚÐÀ¹¯©¢\9cÓƼ³©¢\9f\95Ðƹ³©¢\98\92Ðƹ³¥¢\98\92Ðƹ³¥\9f\9c\92Íƹ¯¥\9f\9c\92Íù¯¢\9f\98\8eÊÀ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\95\8e\85À¹¬¢\98\92\8b\85¼¶©\9f\98\92\88\85¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¶¬\9f\9c\8e\88\85{¶¬\9f\98\8e\88\81{³¬\9f\95\8b\85~{¯©\9c\95\8b\85~w¯©\9f\92\88\85~t¯¥\9c\92\88\85~t¯¥\9c\8e\88\85{t¬¥\98\92\85\81{t¬¢\9c\8e\85\81{q\8e\88~tmj`]SMC?96/,(\1f\e\15\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0(\1f\e\15\ e\v\a\ 4?6/,("\1f\ePMC962/,]VPFC<96g]VPIC?9j`ZSMFC<jd]SPIC<jg]SPMC?mg]VPMF?qj`ZSPFCtmd]VPMF{qj`VSPF{tjdZSPM\81wmg]ZSM\81wmg`]VP\85{qjd]VP\85{tjg`ZS\88~tmg`]S\88\81wmj`]Z\8b\85wmj`]Z\8b\85{qjd`Z\8e\85~tmg`]\8e\88~tjj`]\92\85~wmjd]\92\88\81wqjg]\92\88\81wqjg`\95\8b\81wqjg`ÓƼ¶¬¢\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñëÿÿþñçá×Ðøîá×ÍƼ¹äÚÐù¶¬¥ÚÍù¯©¢\9cÓƼ³¬¢\9f\95Ðƹ³©¢\9c\92Ðƹ³©\9f\98\92Ðù³©¢\9c\92Íù¯©\9f\98\92Êö¬¢\9f\95\8eÊÀ¶¬¢\9c\92\8bƼ¯©\9f\9c\92\8bù¯¥\9f\95\8e\88À¹¬¢\98\92\88\85¼¶©\9f\95\92\88\85¹³¥\9f\95\8e\85\81¹¯¥\9f\92\8e\85\81¶¬¢\9c\8e\8b\81~¶¬\9f\98\92\88\81{¶¬\9f\98\8e\88\81{¶©\9f\95\8b\85~{³©\9f\95\8b\85~w¯¥\9f\92\88\85{t¯¥\9c\92\88\85{w¬¥\9c\92\85\85{w¬¥\98\92\88\81wt¬¢\98\8e\85\81wt\8e\85{tjg`]SMC<66/,%\1f\18\15\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0%\1f\18\15\ e\a\ 4\ 1<6/,""\1f\ePIC962,,]VPF?<62g]VPFC<6j`ZPMF?<jdZSMFC<mg]SPFC<mg]VPIF?qj`ZSPFCtmd]SPIFwmg]ZSPI~tj`]VPM~wmg`ZSP\81wmj`]SP\85{tjd]ZS\85~tjg`ZS\85~tmj`]S\88\81wmjd]Z\8b\85{qjd]Z\8b\85{qjg]Z\8b\85{tmj`]\8e\85~tmj`]\92\85~wmjd]\92\88\81wqjd]\92\88\81wqjg`\95\8b\81wqjg`ÓƼ³¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûñçáÓÐûîá×ÍƼ¹äÚÍƹ¶¬¥ÚÍù¯©\9f\9cÓƼ¶¬¢\9f\95Ðƹ³¥¢\95\92Ðƹ³©\9f\98\92Ðƹ³©\9f\9c\92Íù¯¥\9f\95\92Êù¯¥\9f\95\8eÊÀ¶¬¢\9f\92\8eƼ¯©\9f\98\92\88ù¯¥\9f\98\8e\88À¹¬¢\98\92\88\85¼¶©\9f\95\8e\88\85¹³¥\9f\92\8e\85\81¹¯¢\9f\92\8b\85~¹¬¢\9c\92\8b\85{¶¬\9f\98\8e\88\81{¶¬\9f\95\8e\85~{³©\9f\95\8b\88~{³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¬¥\9c\92\88\85{w¯¢\98\92\88\85{t¯¥\95\8e\85\81{t¬¢\98\8e\85\81{t\8e\85{tmj`]VPF?96/,("\e\15\11\ e\v\ 4\a\ 4\0\0\0\0\0\0\15\ e\a\ 1\ 1\0\0\0("\e\15\11\v\a\ 4?6/,("\1f\eSM?<62/,]VMF?962g]SPFC<6j`ZPMF?9j`ZSPFC<mdZSPIC?mg]VPIF?qg`ZPPICtjd]VPIFwmg`ZSPF{qj`]VPI~tmg]ZSP\81tmj`ZVP\85{qj`]ZP\85{tjd`ZS\88~tmg`]S\88~wmj`]V\8b\81wqjd]Z\8b\85{qjg`Z\8e\85{tmg`Z\8e\85~tmg`]\92\85{tmjd]\95\88\81wmjd]\92\88\81wqjg]\92\88\81wqjj`ÓƼ¶¬¢\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçÝÓÐøîáÓÍƼ¶äÚÍƹ¶¬¥×Íù¯©¢\9fÓƼ³¬¢\9f\92Íù³©¢\95\92Íù³¥¢\9c\92Íƹ¯¥\9f\98\92Íö¯¥\9f\95\92Êö¯¢\9f\95\8eÆÀ¶¬¢\9c\92\8eƼ³©¢\9c\92\8bù¯¥\9f\95\8e\88À¹¬¢\9c\92\8b\85¼¶©\9f\95\92\88\85¹³¥\9f\92\8e\85\81¹¯¢\9f\92\8b\85~¹¯¢\9c\8e\88\85~¶¬\9f\98\8e\88\85{¶¬\9f\95\8e\88\81{³©\9f\95\8b\85~w³¥\9f\95\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85{t¯¥\9c\92\85\81{t¬¢\98\8e\85\81{t¬¢\95\8e\85\81wt\8e\85{tmg`]SMC?62/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\v\ 4\0\0\0\0\0(\1f\18\11\ e\a\ 4\ 4<6/,%"\1f\18PI?962/,]SPC?<62g]SPF?<9jdZPMFC9jd]PMIC<jg]SPIC?mg`ZPMFCqj`ZSPICtjg]VPMFwmj]ZSPF~tj`]VPM~tmg]ZSP\81wmj`ZVP\85{tjd]ZS\85~tjg`ZS\88~tjg`ZV\88\81wqj`]V\8b\85wqjd]Z\8b\85{qjd]Z\8e\85{tjg`Z\8e\85~tmj`]\92\85~tmj`]\92\88\81wmjd]\95\88\81wqjd]\95\88\81wqjg`ÓƼ³¬¢\9f\95ÿÿÿÿÿÿþôÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿûñçá×Ðøîá×ÍÆÀ¹äÚÐü³¬¥ÚÍù³©\9f\9cÓƼ³¬¢\9c\92Ðƹ³©\9f\9c\92Ðù¯©¢\9c\92Ðù³©\9f\9c\92Ðù¯¥\9f\98\8eÍù¬¥\9f\95\8eÊÀ¶¬¢\9c\92\8bƼ³©\9f\9c\92\88ù¯¥\9f\95\8e\88À¹©¢\98\92\8b\85¼³©\9f\98\8e\88\85¼³¥\9f\92\8b\85\81¹¯¥\9c\95\8b\85~¹¬¢\9c\92\88\85~¶¬\9f\98\8e\88\81{³¬\9f\95\8e\85\81w³©\9f\95\8e\85~w³¥\9f\95\8b\85~w¯¥\9f\92\88\85~w¯¢\9f\92\88\85{t¬¢\98\8e\88\81{t¬¢\95\8e\85\81wq¬¢\9c\8e\85\81{q\8e\85{tmg`]SMC<62/,%\1f\18\11\ e\v\ 4\ 1\ 4\0\0\0\0\0\0\0\11\a\ 4\0\0\0\0\0%\1f\18\11\ e\a\ 4\ 1<6/,%\1f\1f\18PFC922/,]SPF?962g]SPFC<9j`ZPIF?9j`ZSMFC<jdZSPFC<mg]VPMC?qj`ZSMFCqjd]VPICwmg]ZSMF{qj`]VPI~tmd]VSP\81wmj`ZSP\85{qjd]VP\85{tjg`ZS\85~tmg`]S\88\81tmjd]V\88\85wqjd]Z\8b\85{qjg`Z\8e\85{qmg`]\8e\85~tmjd]\92\88~tmjd]\92\88~wqjd]\92\88\81wqjg`\92\8b\81{qmj`ÓƼ³¬¢\9f\98ÿÿÿÿÿÿûôÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîäÿÿøîçÝÓÍûîá×ÍÆÀ¶ä×Ðƹ³¬¥×Íù¯©¢\9cÓƼ³©¢\9f\95Ðƹ³¥¢\9c\92Ðƹ¯©\9f\9c\92Ðƹ³©¢\9c\92Ðù¯¥\9f\98\92Íù¯¥\9f\98\8eÊÀ¶¬¢\9c\95\8bƼ³¥\9f\9c\8e\88ù¬¥\9f\95\8e\88ù©¢\98\92\8b\85¼³©\9f\95\8e\88\85¹¯¥\9f\95\8e\85\81¹¯¢\9f\92\8b\85~¹¬¢\9c\92\88\85{¶¬\9f\98\8e\88\81{¶¬\9f\95\8e\85\81w³©\9f\95\8e\85\81w³¥\9f\92\8b\85~w¯¥\9c\92\88\85~w¯¢\9c\92\88\81{t¯¢\98\92\88\81{t¬¢\98\8e\88\81{t¬¢\98\8e\88~wt\8e\85{tmg`ZSMC<92/,(\1f\e\15\ e\v\a\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\ e\v\a\ 4?9/,%"\1f\ePMC962/,]ZPFC<62g]VPIC<9j`ZPMF?<j`ZSMIC?jg]SPIF?mj]VPMF?qj`ZPPFCtjd]SPMCwmg]ZSPF{qj`ZVPI~tjg]VSP\81wmg`ZSP\85wqj`]ZP\85{qjd]ZS\85~tjg`ZS\88\81tmj`]V\88\81wmjd]Z\88\85wqjg`Z\8e\85{qjg`Z\8e\85{tmg`Z\92\88~tmjd]\92\88~tmjd]\92\88\81wqjg`\92\88\81{qjg`ÓƼ³¬¥\9f\9cÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçáÓÐøîáÓÍƼ¶äÚÍƹ¶¬¥×Ðù¯©\9f\9cÐƹ³©¢\9c\92Ðƹ¯©\9f\98\92Íƹ³©\9f\9c\92Íƹ¯¥\9f\95\92Êö¯¥\9f\95\92Êù¬¥\9f\95\8eÆÀ³¬\9f\9c\92\8bƹ¯©\9f\9c\92\88ù¯¢\9f\95\8b\85¼¹¬¢\98\92\88\85¼³©\9f\95\92\88\85¹¯¥\9f\92\8e\85\81¹¯¥\9c\92\8b\85~¹¬¢\9c\92\88\85{¶¬¢\95\8e\85\85{¶¬\9f\98\8e\88\81{³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯©\9f\92\88\85{t¬¥\98\92\88\85{t¬¥\9c\92\88\85{t¬¢\95\8e\85\81wt¬¢\98\8e\85~wq\8b\85{tjg`ZSMC<66/("\1f\18\11\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\0(\1f\18\11\ e\a\ 4\ 4<6/,""\1f\18PIC96/,(]SPF?962d]SPFC<6j`ZPIC?<j`ZSMF?<md]SPFC<mg]VPMC?qj`ZSPFCtjd]VPMFwqj`VSPF{qj`]VPM~tmd`ZSP\81wmg`]VP\85{qjd]ZP\85~tjg`ZS\88~tmg`ZS\88\81wmj`]Z\88\85wqjd]Z\8b\85{qjg`Z\8b\85{tjj`]\8e\85{tmgd]\8e\85~tmjd]\92\88~wqjd]\92\88\81wqjg]\95\8b\81wqjg`ÓƼ³©¥\9c\9fÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîëÿÿûñçáÓÐøîá×ÊƼ¶çÚÐƹ¶¬¥×ÍÀ¹¯©\9f\9cÐƼ³¬¢\9c\92Ðƹ¯©\9f\98\92Íƹ¯¥\9f\9c\92Ðù¯¥\9f\98\92Íù¯¥\9f\98\92Êö¬¢\9f\95\8eÊÀ³¬¢\9c\92\8eƹ¯¬\9f\9c\8e\88ù¬¥\9c\98\8b\88À¹©¢\98\92\88\85¼³©\9f\98\8e\88\85¹³¥\9f\92\8e\85~¹¯¥\9c\92\8b\85~¶¬¢\9c\8e\88\85{¶¬\9f\98\8e\88\81{³©\9f\95\8b\88~w³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¬©\9f\92\88\85~w¬¥\98\8e\88\85{t¬¢\95\92\85\85{t¬¢\95\8e\85\81wt¬¢\95\8e\85\81wq\8b\85{tjg`]SMC<66/,(\1f\e\15\11\v\a\ 4\a\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0%\1f\e\15\ e\v\ 4\ 4<6/,%\1f\1f\18PI?96//,]VMFC<6/g]SMF?<6j]VPMC?9j`ZPMF?9jdZSMIC<mg]SPIC?qg]ZPMF?tj`]SPICtmg]ZSPF{qj`ZSPM~tjd]VSM\81tmg]ZSP\85{mjd]VP\85{qjd]ZS\85~tmj`ZS\88~wmj`]S\88\81wmjd]V\8b\85{qjg`Z\8e\85{tjg`Z\8e\85{tmj`Z\92\88~tmg`]\8e\85~tmjd]\92\88\81wqjd`\92\88\81{qjg`Ðƹ³¬¢\9f¥ÿÿÿÿÿÿþôÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþøîçÿÿûñäÝ×Íøîá×ÊƼ¶ä×Êù³¬¢×ÊÀ¹¯©\9f\9cÐƹ³©¢\9f\95Íù¯©\9f\98\92Íù¯©\9f\98\92Ðù¯¥\9f\9c\92Íù¯¥\9f\98\8eÊù¬¢\9f\95\8eÊÀ³¬¢\9c\95\8bƼ³©\9f\98\92\8bù¯¥\9f\95\8e\88À¶¬¢\9c\92\8b\85¼³©\9f\98\8e\88\85¹¯¥\9c\92\8b\85~¹¬¢\9c\92\88\85~¹¬¢\98\8e\88\85{¶¬\9f\95\8e\85\85w³©\9f\95\8e\85\81w³©\9f\92\8b\85~w³¥\9f\95\88\85~w¯¥\9f\92\8b\85~w¯¥\9c\92\88\81{t¯¢\98\8e\85\81{t¬¢\98\8e\85\81{q¬\9f\98\8e\85\81wq\8e\85{tjg`ZSMC<62/((\1f\18\ e\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\18\15\ e\v\ 4\ 4<6/,%"\1f\18PI?96//,]SPF?962g]SMFC<9j`ZPIC?9j`ZSMFC<jdZSPMC?mg]VPMF?qj]ZPPFCtjdZSPMCwmg]ZSPF{qj`]VPM~tjg]ZSP\81wmg`ZSP\85{mj`]VP\85{tjd]ZP\88~tjg`ZS\88\81tmg`]V\88\81wmjd]V\8b\85wqjd]Z\8e\85{qjg]Z\8e\85{tmg`]\8e\85~tmjd]\8e\85~wqjd]\92\88~tmjd]\95\88\81wqjg]\92\88\81wqjg`\95\8b\85wqjg`\95\8b\85{tmg`\95\8e\85{tmjd\98\8e\85{tmj`\95\8e\85{wmj`\98\8e\85{tmjd\98\8e\85~tmjd\9c\8e\85~tqjd\9c\8e\88~wqjg\98\92\88~wqjg\9c\92\88~wqjg\9c\92\88\81wqjg\9c\92\88~wqmj\9c\92\88\81wtjg\9f\92\88\81{tmj\9f\92\88\81wqmg\9f\95\88\81{tmg\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wqj\9f\95\8b\85~tqj\9f\95\8b\85~wqj\9f\95\8b\85{wqj\9f\95\8b\85~tmj\9f\98\8b\85{tmj\9f\98\8b\85{wmmÝÓƼ³¬¥¼ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿøñÿÿÿûîçÝ×þøëÝÓÍùëáÓÊÀ¹³¬ÝÓƼ¶¯¥\9f×Íù¯©\9f\9cÓÊÀ¶¬¢\9f\98ÓƼ¶¬¥\9f\95Óʼ³¬¥\9f\95ÓƼ³©\9f\9f\92Ðƹ¯©¢\9c\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\92\8bƼ³©\9f\9c\92\88ù¬¢\9f\92\8e\85À¹¬¢\9c\92\8b\85¼³©\9f\95\8e\88\85¹³¥\9f\92\8e\88\81¹¯¥\9c\95\8b\85~¹¬¢\98\92\8b\85~¶¬\9f\98\8e\88\85{³©\9f\95\8e\85\81{³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯¥\9f\92\88\85~t¯¥\9c\92\88\85{t¬¥\98\92\88\81wt¬¢\9c\8e\85\81{t\92\85{tmj`]VPF?96//(\1f\e\15\11\ e\v\ 4\a\ 4\0\0\0\0\0\0\15\v\ 4\ 1\0\0\0\0("\e\11\ e\v\a\ 4<62/("\1f\ePIC962/,]VPF?966g]SPIC<9j`ZPIF?<j`]SMFC<md]SPFC?mg]VPMF?qj`ZSPFCtj`]VPIFwqj]VSPF{qj`]SPM~tjd]ZSP\81wmg`]VP\85{qjd]VP\85~tjd]ZS\85~tmj`]V\88\81tmjd]V\88\81{mjd]Z\8b\85{qjg`Z\8e\85{tjg`]\8e\85{tmjd]\92\88~tmjd]\92\88~wqjg`\92\88~wqmd`\92\88\81{qjgjÓƼ¶¬¢\9f¼ÿÿÿÿÿÿûøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþøîçÿÿûñçáÓÍøîáÓÍƼ¶äÚÊù³¬¥ÓÊÀ¹¯©¢\9cÐƼ³©¥\9c\95Íƹ¯©¢\9c\92Ðƹ³¥¢\9c\92Íù¯¥\9f\98\92Êù¯¥\9f\98\92ÍÀ¹¬¢\9f\95\8eʼ¶¬¢\9c\92\8bƹ³©\9f\9c\92\88ù¬¥\9f\95\8e\88À¶©¢\9c\92\88\85¼³©\9f\98\8e\88\81¹³¥\9f\95\8b\88~¹¯¥\9c\92\8b\85~¹¬¢\9c\8e\88\85{¶©¢\98\8e\88\85{³©\9f\95\8e\88\81w³©\9f\92\8b\85~w¯¥\9c\92\8b\85~w¯¥\9c\92\88\85{t¯¥\9c\8e\88\85{t¬¢\98\8e\88\81{q¬¢\98\8e\85\81{t©\9f\98\8e\85~wt¬¢\98\8e\85~wq©\9f\95\8b\85~wq©\9f\95\88\85~wq©\9f\92\88\85~wq©\9f\92\88\81{wm©\9f\92\88\85{tm¥\9c\92\85\81{tm¥\9f\92\88\81{tj¥\9c\8e\88~{tm¢\9c\8e\88~{qj¥\9c\92\85\81wqj¢\9c\92\85\81{tj¢\9c\92\85\81{qm¢\9c\8e\85\81{tj¢\9c\8e\85~wtj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85{wmj¢\95\8e\85~wqj¢\98\8e\85{wmj\9f\95\8e\85{tqj¢\98\8e\85{wqj¢\98\8b\85{tmj\9f\98\8b\85{tmj\9f\95\8b\85~wmj\9f\95\8b\85~wmj\85{qjd]ZPMC<6/,,"\1f\18\11\v\v\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\15\11\v\ 4\ 4\0\092,%\1f\e\18\15PF?66/,(]VPF?962g]SPFC<9j`ZPMF?<jd]SPIF?jg]SPIC?mg]VPMF?qj`ZSMICtjd]VPMFwmg`ZSPI{qjd]VSM\81tjg`ZSP\81wqg`]VP\85{qj`]ZS\85{tjg`ZS\85~tmj`ZV\88\81wqjd]V\88\81wqjg]Z\88\85wtjg`Z\8e\85{tjj`]\8e\85~tmjd]\8e\88~wmjd]\92\88~wqjg]\92\88\81wqjg`\92\88\81wqjg`\92\8b\85{qmg`\92\8b\85{qmjd\95\8e\85{tmjd\98\8b\85~wqjd\98\8e\85~wqjd\98\8e\85~tmjd\98\8e\85~wqjd\98\8e\85~wqjg\9c\92\85~wqjg\9c\8e\88~wqjg\9c\92\88\81wtmg\9f\92\88\81wqmj\9f\92\88\81wtmj\9f\92\88\81{tmj\9f\92\88\81{qmj\9f\95\88\81{tmg\9f\95\88\81{tmj\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\92\88\85{tmj\9f\92\88\81{tqj\9f\92\88\85{tqj\9f\92\8b\85{wmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8e\85{tmj\9f\92\8b\85{wqj\9f\95\8b\85~tqj\9f\95\8b\85~wmj\9f\95\8b\85~wq~ÚÐƹ³©¥×ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþøîäÚÓûñçÝÓÊüëáÓÊÀ¹³¬ÝÓƼ³¯¥\9fÓÍÀ¹¬©\9f\98Óʼ¶¬¥\9f\95ÓƼ³¬¥\9f\92ÓƼ³¬¥\9c\92Óƹ³©¢\9f\95Ðƹ¯©¢\98\92Íù¬¥\9f\95\8eÆÀ³¬¢\9c\92\8bƼ¯¥\9f\95\8e\88À¹¬¥\9f\95\8e\85¼¶©¢\9c\92\8b\85¼³©\9f\95\8e\88\81¹¯¥\9c\92\8e\85~¹¯¢\9c\92\8b\85~¶¬¢\98\8e\88\85{¶¬\9f\98\8e\85\81{³©\9f\95\8b\85\81w³©\9f\92\8b\85~w³¥\9f\92\8b\85~w¯¥\9c\92\88\85~t¯¢\98\8e\88\81{t¬¢\98\8e\85\85{t¬¢\98\8e\85\81{t¬¢\98\8b\85~{t¬\9f\95\8b\85~wq¬\9f\95\8b\85~wq©\9f\92\88\85~wq©\9f\92\8b\85{tq¥\9f\92\8b\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¢\9c\92\88\81{tj¢\9c\92\88\81{tm¥\9c\8e\88~{tm¥\9c\8e\85~wtj¥\9c\8e\85~{qj¢\9c\8e\85\81wqj¢\9c\8e\85~{qj¢\98\8e\85~wqj¢\9c\92\85\81wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\95\8e\85~tqj¢\98\8b\85~wqj¢\98\8b\85~wqj¢\98\8e\85~tqj¢\95\8e\85~wqj¢\98\8b\85~tmj\85~qjd]VSIC<62/,%\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\e\11\v\ 4\ 4\ 1\062,("\1f\e\18PF?66//(]VPF?<66g]VPIC<9j`ZSMFC?jd]SPIF?mg]SPMF?mj]VSPFCtj`ZSPMCtjg]VPMI{qg`ZSPM{qj`]VPM~wmg`ZSP\81wmj`]VP\85{qjd]ZS\88~tmg`ZS\85{tjg`ZS\88~wmgd]V\88\81wqjd]Z\8b\85wqjg]Z\8e\85{qmg`Z\8e\85{tmj`]\8e\85~tmjd]\92\88~wmjg`\92\88~wqjg`\92\88~wqjg\81Óƹ³¬¥\9fáÿÿÿÿÿÿþþÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþûîëÿÿûñçÝ×Íøîá×ÊƼ¶äÚÍù³¬¢×Ðù¯©\9f\9cÓƹ³©¢\9c\95Ðù¯¥\9f\9c\92Ðƹ³¥\9f\98\92Êù¯©\9f\98\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\95\8eƼ³©¢\9f\95\8bƹ¯¥\9f\98\92\88ù¬¥\9c\95\8e\85¼¶©¢\98\92\8b\85¹³¥\9f\95\8e\88\85¹³¥\9f\92\8b\85\81¹¯¢\9f\92\8b\85~¶¬\9f\98\8e\8b\85~³¬\9f\95\8e\88\81{³©\9f\95\8e\88\81{¯©\9f\95\8b\85~w¯¥\9c\92\8b\85~w¯¥\9c\92\88\85~t¬¥\9c\92\88\85{t¬¥\98\8e\85\85{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81wt\8e\85{tjg]ZSMC<62/,"\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\a\ 4\0\0\0\0\0%\1f\18\11\ e\a\ 4\ 4<6/,%\1f\e\ePFC96//,]SMF?962g]SPF?<9j`VPIC?<j`ZPMFC<jdZSPIC<mg]SPMC?qj`ZPMFCtjd]VPIFtmg]VPPIwqj`]SPM~tjd]ZPP\81wmg`ZSP\81wqj`]VS\85{qjd`ZS\85~tmg`ZV\88~wmj`]V\88\81wmjd]Z\8b\81wqjd]]\8e\85{qjg`]\8e\85{tmj`]\8e\85{tmj`]\8e\85~wqjg`\92\88~wqjd`\92\88\81wqjg`\92\88\81wqjj`\95\8b\85{tmgd\92\8b\81{tmjg\95\8b\85{tmjd\95\8e\85{tmjg\95\8b\85{wmjg\95\8e\85{tqjg\9c\8e\85~wqjj\98\92\85~wqjg\9c\92\88~wqjj\9c\8e\88~wqjj\9c\92\88\81wqmj\9c\92\88\81{qmj\9f\92\88\81wtjj\9c\92\85\81wtmj\9c\92\88\81wtmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9c\95\88\81{tmj\9f\95\88\81{tmj\9f\92\88\85{tmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\88\85{tmj\9f\92\8b\85{wmj\9f\95\8b\81{tqj\9f\95\88\81{tmj\9f\92\8b\85{tmj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{wmm\9f\95\8b\85{tm\98ÚÐƹ³¬¥øÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôëÿÿþôîäÚÓøñäÚÓÊùçÝÐÆÀ¹¯©ÝÐƼ¶¬¥\9fÓÊÀ¶¬¥\9f\98ÓÆÀ¶¬¥\9f\95Óƹ³©¢\9f\95ÓƼ³¬¢\9f\95Óƹ³©¢\9c\95Ðƹ¯¥¢\9c\92Íù¬¢\9f\98\8eƼ³©¢\9c\92\8bƹ¯©\9f\95\8e\88ù¬¥\9c\92\8b\85À¶©¢\9c\92\88\85¹³¥\9f\95\8e\88\81¹¯¥\9f\92\8e\85~¹¯¢\98\92\88\85~¹¬¢\98\8e\88\85{¶¬\9f\98\8e\88\81~¶©\9f\98\8e\85~w³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\81{t¬¢\9c\92\88\85{t¬¢\98\8e\88\81{t¬¢\95\8e\88\81{q\92\85{qjg`ZSMF<92/,%\1f\18\11\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\0\ e\v\ 4\0\0\0\0\0(\1f\18\15\ e\v\ 4\ e?6/,%"\1f\1fPMC962//]SPF?<66g]SPFC<<j`ZPMFC<j`ZPMFC?jd]VPMCCmg]VPPFFqj`ZSPIFtj`]VPMIwmg`ZSPM{qj`]VPP{tjg]ZSP\81wmj`]VS\85wmj`]VS\85{qjg]ZV\85~tmg`]Z\88~tmg`]Z\88\81wqjd]]\8b\81wqjd]]\8b\85{qmg`]\8e\85{tmg``\92\85~tmj``\8e\88~wmjd`\92\85~wmjd`\92\88\81wqjg\98Óƹ³©¢\9cûÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþûîçÿÿûîçáÓÍøëáÓÊƼ¶ä×Êù³¬¥×Êù¯©\9f\9cÐƹ³©¢\9f\95Íù¯¥\9f\98\92Íù¯¥\9f\98\92Íù¯¥\9f\95\92Íù¬¥\9f\95\8eÊÀ³¬¢\9f\95\8eƼ³¬\9f\98\92\8bƹ¯©\9f\98\8e\88ö¬¢\9c\92\8b\85¼¶©\9f\98\92\8b\85¼³¥\9f\95\8e\88\85¹¯¢\9c\92\8e\85~¹¬¢\98\92\88\85~¶¬¢\98\8e\88\85{³©\9f\95\8e\85\81{³©\9f\92\8b\85\81{³¥\9f\92\8b\85~w¯¥\9c\92\8b\85~w¬¢\9c\8e\88\85{t¬¢\9c\92\88\81{t¬¢\98\8e\88\81wt¬¢\95\8e\85\81wt¬\9f\95\8b\85~wq¬\9f\95\8e\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85{wm¥\9f\92\88\85~tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\85\81wtm¢\9c\8e\88~wqj¥\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wmj¢\9c\8e\85~wqj¢\98\8e\85~wmj¢\98\8e\85{wqj\9f\95\8b\85~tmj\9f\98\8e\85{wmj\9f\95\8b\85{wmj¢\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{wmj\9f\98\8e\85{wmj\9f\95\8b\85~tmj\9f\95\8b\85{wqj\9f\95\88\85{wmj¢\98\8b\85{wmj\9f\98\8b\85{wqj\9f\95\8b\81~tqj\9f\95\8b\85{tmj\9f\95\88\85{tmj\85{qjd]ZPMC<62/,%\1f\18\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\ e6/,%\1f\1f\15"PF<62/,/]SMC?966g]SPFC<<j`ZPIF??j`ZPMFC?j`ZSMFCCmg]SPICFmj]ZPIFFqj`ZSPFItmd]VPMPwmj`ZSPP{tj`]VPS~wmg`ZSV\81wmj`]VZ\85{qjd]VZ\85{qjd`ZZ\85~tjg`]]\88~wmjd]]\88\81wqjd]`\8b\81wqjg]`\8b\85{qjg`d\8e\85{tmj`d\8e\85~tmjdg\92\85~wqjdg\92\88~wqjg¥Ðƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîäÿÿøîäÝÓÊñçÝÓÊù³áÓÊÀ¹³©¢ÓÊÀ¶¬¥\9f\9cÐƹ¯©¢\9c\95Íù¯¥¢\98\92Íù¯¥\9f\95\92Êù¯¥\9f\98\92Íù¬¥\9f\98\92ÊÀ¶¬¢\9f\95\92Ƽ³©¢\9c\92\8bƹ¯¥\9f\95\92\8bù¬¢\9c\92\8e\85À³©\9f\9c\92\88\85¹¯¥\9f\95\8e\88\85¹¯¥\9c\95\8b\85\81¹¬¢\9c\92\88\85~¶¬\9f\98\92\88\81~³¬\9f\95\8e\88\81{³©\9f\95\88\85~{³©\9f\92\8b\85~{¯¥\9c\92\88\85~w¯¢\9c\92\88\85{w¯¢\98\8e\88\85{w¬¢\98\8e\85\81{t©¢\95\8e\85~wt©\9f\95\8e\85~wq\8b\85{tjg`ZSMC<62/,%\1f\18\11\ e\v\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\ 1(\1f\18\15\ e\v\a\e<6/,%"\1f,PIC962/6]SPF?<6<d]SPFC<Cj]VPIF?Cj`ZSPFCFjd]SPMFFmd]VPMFIqg]ZSMFMtj`]VPMPtmg]ZSPS{qj`]VPV{tjd]ZSZ~wjg]ZS]\81{mj`]V]\85{qjd]Z]\85{tjg]Z`\88~tmj`]d\88~wmgd]g\88\81wqjd]g\8b\85wqjg`g\8b\85{tmg`g\8e\85{tmj`j\8e\85{tmg`j\8e\85~wmjgj\92\88~wmjg³Ðƹ¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿøîçÝÓÊôëÝÓÊù¶áÓÊù³©¥×ÊÀ¹¬©\9f\9cÐƹ³©\9f\9c\98Ðù¯¥\9f\9c\95Íù¬¥\9f\98\92ÐÀ¹¬¥\9f\98\92ÊÀ¶¬¥\9f\98\92ʼ³¬¢\9f\95\8eƼ³©\9f\98\92\8eù¬¥\9f\95\8e\8bÀ¹©¥\98\92\8b\88¼³¥\9f\95\92\88\85¹¯¥\9f\95\8e\88\85¹¯¢\9c\92\8b\85\81¶¬\9f\98\8e\8b\85\81³©\9f\95\8e\88\81~³©\9f\92\8b\85\81{¯¥\9f\92\8b\85~{³©\9f\92\8b\85~{¯¥\9c\92\8b\85{w¬¢\9c\92\88\85{w¬¢\98\8e\85\81{w¬¢\98\8e\85~ww¬\9f\95\8e\85\81wt©\9f\95\8b\85~wq\8e\85wqjg]ZPIC<62/,"\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\ 4%\1f\18\11\v\v\ 4"96/,%\1f\e2PI?96/,9]SMF?96Cd]SMF?9Cj]VPIC?Fj`ZPMF?Ij`ZSPFCMjg]SPMCPqj]ZPMFStjdZSPISwmg]SPPZwmj`ZSPZ~tjd]VS]~wmg`ZS`\81{qj`]V`\85{qjd]Zd\85~tmg`Zg\88~tmg`]j\88\81wmjd]j\88\81{qjg]j\8b\85{qjg`j\8e\85{tmg`m\8e\85{tmj`m\92\88~tmjdq\92\85\81wqjdq\92\88\81wqjg¹Ðƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþøîçÿÿøñäÝÓÍôîÝÓÊü¹á×Êù³¬¥ÓÊÀ¹¯©\9f\9fÍƹ³©¢\98\98Íù¬¥\9f\98\98Íù¯¥\9f\98\98ÍÀ¹¬¥\9f\95\98ÊÀ¹¬¥\9f\95\95ÆÀ¶¬¢\9c\92\95Ƽ³©\9f\9c\92\92ù¯¥\9f\98\8e\8eö¬¢\9c\92\8b\88¼¶©\9f\98\92\8b\88¹¯©\9f\95\8b\85\85¹¯¢\9c\92\8b\85\85¹¬¢\98\8e\88\85\85¶¬\9f\95\8e\88\81~³©\9f\95\8e\88\81~¯©\9f\92\8b\85~~¯¢\9f\92\8b\85~{¯¥\9c\8e\88\85~{¬¢\98\8e\88\81{w¬¢\9c\8e\88\81ww¬¢\98\8e\88\81ww©\9f\92\8b\85~ww©\9f\95\8b\85~wt\8b\85{qjg]ZSMC<62/,%\1f\18\15\ e\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\ e("\e\15\11\ e\a,<6/,%"\1f6PF?96//C]SMF?<6Fd]SMFC<Mg]VPMC?Mj`ZPIF?Pj`ZPPFCPmd]SPICSmg]ZPIFSqj`ZSPI]tjg]VPM]wmg`ZSP`{qj`]VPd~tjg]VSg\81wmg`ZSj\85{qj`]Vj\85{qjd]Zm\85~tjg`Zm\85~tmj`]q\88\81wmj`]q\8b\85wqjd]t\8b\85{qjg`t\8b\85{tjj`t\8e\85{tmjdw\8e\85~tmjdw\8e\88~wmjdÀÐƹ¯©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþþÿÿÿÿþøîçÿÿøîäÝÓÍñëÝÓÊù¶á×Êù¯©©ÓÊÀ¶¬©\9f\9fÍù¯©\9f\9c\9fÊö¬¥\9f\98\9fÊÀ¶¯¥\9f\95\9fÊÀ¶¬¢\9f\95\9cÊÀ¶¬¢\9f\95\98Ƽ³¬¢\9c\92\98ƹ³©\9f\98\92\92ù¬¥\9f\95\8e\92À¶¬¢\98\92\8b\8b¼³©¢\98\8e\88\8e¹³©\9f\92\8e\88\88¹¯¢\9c\92\8b\85\88¹¬¢\98\8e\88\85\85¶¬\9f\95\8e\88\85\85³©\9f\95\8b\85~\81³¥\9f\92\88\85~\81¯¥\9c\92\88\85~\81¯¥\9c\92\88\85{~¬¢\98\92\88\85{{¬¢\95\8e\85\81{{¬\9f\95\8b\85\81w{©\9f\95\8b\85~{{©\9f\92\8b\85~wq\8b\85wqjg]ZPIC<62/("\1f\15\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\ e\a\ 1\0\0\0\0\11"\1f\18\11\v\a\ 4/<2/,%\1f\1f?PF?96/,IZSIC?96PdZSMF?9Pg]VPIF?Sj`ZSIF?Sj`ZSMFCVmdZSPMFZmg]VPMF]qj`ZSPI`tjg]VSPgwqj`ZSPj{qjd]VSj~tjg]ZSj\81wmj`]Vm\81{qjd]Zq\85{qjd`Zt\85~tmj`]t\88~wmj`]t\88\81wqjd]w\8b\81wqjd`{\8b\85{qjg`{\8e\85{tmg`~\92\85{tmgd~\92\85~wqj`\81\92\88~tqjgÊÐƹ¯©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîëÿÿûîäÝÓÐôëÝÓÊù¹áÓÊù³©¬Óʼ¶¬¥\9f¥Íù¯©\9f\9c¢Íù¬¥\9f\98¢Êù¬¥\9f\95¢Íù¯¥\9f\95\9fÊÀ¶¬¥\9f\95\9fʼ³¬¢\9c\92\9fƹ¯©\9f\9c\92\9cù¬¥\9f\95\8e\95À¶¬¢\9c\92\8b\92¼³©\9f\98\8e\88\92¹¯¥\9f\92\8e\85\8e¹¬¢\9c\92\8b\85\8b¶¬\9f\98\92\88\85\88¶©\9f\9c\8e\85\85\88³©\9f\95\8e\85\81\88¯¥\9f\92\8b\85~\85¯¥\9c\92\8b\85~\85¯¥\9c\92\88\85{\85¬¢\98\92\88\81{\85¬¢\98\8e\85\81{\81¬¢\98\8e\85~w\81¬\9f\95\8b\85\81w~©\9f\95\8b\85~wt\8b\85wqjd]ZPMC<62/,%\1f\18\11\ e\v\ 4\ 1\ 1\0\0\0\0\0\0\0\11\a\ 1\0\0\0\0\e%\1f\18\11\ e\a\ 46<6/,%\1f\1fFPF?96/,PZSMC<96SdZSMC?<Zg]SPIC<Zj`VPMF?]j`ZSMFC]jdZSPIC`mg]VPMFdqj`ZSPIjtjd]VPMmwmg]ZSPm{qj`ZVPq~tjd]ZSt\81wmg`ZVw\85wqj`]Vw\85{qjg]Z{\85{tjg`]~\85\81tmj`]~\88\81wmjd]~\8b\81{qjd`\81\8b\85{qjg`\81\8b\85{tjg`\85\8e\85{tmjd\85\8e\85~wmjd\85\92\85~wqjdÍÐù¯©¢\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîîÿÿøîäÚÓÐñçÚÓÊù¹áÓÊù¯©¯ÓƼ¶¯¥\9f¬Ðù³©\9f\9f¬Íù¯¥\9f\98¬ÊÀ¹¬¥\9f\95¬Íù¬¥\9f\95©ÊÀ¶¬¥\9f\95©Æ¼¶¬¢\9f\95¢Æ¼³©\9f\98\92¢Æ¹¯¥\9f\95\8b\9fù©¢\9c\95\8b\9c¼¶©\9f\95\92\8b\98¹¯¥\9f\95\8e\85\95¹¯¢\9c\92\8b\85\95¶¬¢\9c\8e\88\85\92¶¬\9f\98\8e\88\81\92³©\9f\95\8b\85\81\8e³©\9c\92\88\85~\8e¯¥\9c\92\88\85~\8b¯¥\9c\92\88\85~\8b¬¢\98\92\88\85{\88¬¢\95\8e\85\81{\88¬\9f\98\8e\85\81{\85¬\9f\95\8b\85~w\85©\9f\95\8b\85~wt\8e\81{qjg]ZPIC962/,"\1f\18\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\ 4\ e\a\ 4\0\0\0\0\1f%\1f\18\15\ e\v\ 49<6/(%"\1fMPF?96/,V]SMC?96]d]SMFC<`g`VPIF?`j`VSMF?`j`ZSPFCdmd]SPIFgqg]VPMFjqj`]SPImtj`]VPMqwqg`ZSPt{tjd]VPw~tjd]ZS{~wmg`]V~\85wqj`]V\81\85{qjd]Z\81\85~tmg`Z\85\85~wmj`]\85\88\81wmjd]\85\8b\85wqjd]\88\8b\85{tjg`\8b\8e\85{tjg`\8b\8e\85{tmg`\8b\92\85~wmj`\8e\8e\88~wqjd\92\92\88~tqjg\8e\92\88\81wqjg\92\92\88\81wqmg\92\92\8b\81{tmg\92\95\8b\85{tmj\95\92\88\81{tmj\92\98\8b\81{tmj\95\98\8e\85{tmj\95\98\8e\85{tqj\95\98\8e\85~tqj\95\98\8e\85~wqj\95\98\8e\85~wqj\95\98\8e\85~wqj\98\98\8e\85~wqm\98\9c\8e\85~wqj\98\9c\8e\85\81wtm\98\9c\92\85\81{qm\98\9c\92\85\81wtj\98\9c\92\88\81wtm\98\9c\92\85~wqm\98\9c\92\88\81{tm\98\9c\92\88\81wtm\98\9f\92\88\81{tm\9c\9f\92\88\81{tm\9c\9f\92\88\81wtm\9c\9c\92\88\85{tm\9f\9f\92\88\81{tm\9f\9f\92\88\81{tm\9f\9f\95\88\81{tm\9f\9f\92\88\81wtm\9f\9f\92\88\81{tm\9f\9f\92\88\81{tmáÚÊù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôþÿÿþøîäÚáûñäÚÐÊÀÍçÝÐƼ¹¯ÃÚÐù³¬¢¼Óʼ¶¬¥\9f¼ÐƼ¶¬¥\9f¹Óƹ³©¢\9c¹Ðƹ³©¢\9c¹Íƹ¯©¢\9c¶Íù¬¥\9f\95³ÊÀ¶¬¢\9f\95¯Æ¼³©\9f\98\92¬Ã¹¬¥\9f\95\8e©À¶¬¢\9c\95\8b¥¼³©\9f\98\8e\88¢¹¯¥\9f\95\8e\85\9f¹¬¢\9c\92\8b\85\9f¶¬\9f\98\92\88\81\9f³©\9f\95\8e\88\81\9c³©\9f\92\8e\85\81\98³©\9c\92\8b\85~\98¯¥\9c\92\88\85~\95¯¢\9c\92\88\85{\95¬¢\98\8e\85\85{\92¬¢\98\8e\85\81{\92¬\9f\95\8b\88\81w\92©\9f\92\8b\85~w\92©\9f\92\8e\85~w\92©\9f\92\88\85~t\8e¥\9f\92\88\85{t\8e¥\9f\92\88\81{t\92¥\9c\92\88\81{t\8e¥\9f\92\88\81{t\8e¢\9c\8e\85\81wt\8b¥\9c\8e\85~wt\8b¢\9c\8e\85~wq\8b¢\9c\8e\85~wq\8b¥\9c\8e\85~wq\8b¢\9c\8e\85~wq\8b¢\98\8e\85~wq\88\9f\98\8e\85~wq\8b¢\98\8e\85~wq\88\9f\98\8b\85~tq\88¢\95\8b\85{wq\88\9f\98\8b\85{wm\88\9f\98\8b\85{tq\88¢\95\88\85{tm\88\9f\98\8b\85{tm\88\9f\92\8b\85{tm\88\9f\95\8b\85{wq\88\9f\95\8b\85{tm\88\9f\95\8b\85{tm\88\9f\95\88\81{tm\88\9f\95\8b\85{tm\88\9f\92\88\85{tq\88\9f\92\8b\85{tm\88\9f\95\88\85{tm\88\9f\92\8b\85{tm\88\9f\92\8b\85{tmq\85wqjd]VSMC<62/(%\1f\e\15\ e\v\a\ 4\ 1\0\0\0\0\0\0\0\ 4\a\ 1\0\0\0\0\0%\1f\e\11\ e\a\ 4\ 1<9/,%\1f\1f\18SPC<62/,`]SMC?96jd]SMF?<mg`ZPIC?jj`ZPPFCmj`ZSPFCqjd]SPICqmg]VPMFwqj`ZSMF{tmd]VPM{wqg]ZSP\81{qjd]VP\85~tjd]ZS\85\81wmg`]V\88\85wqj`]V\88\85{qjd]V\8b\85~tjg`Z\8e\88~wmg`]\8e\88\81tqjd]\92\8b\81wqj`]\92\8b\85wqjd`\92\8b\85{qjg`\95\8e\85{tjj`\95\8e\85{tmjd\98\8e\85~wmjd\98\92\88~wqjd\9c\92\88\81wqjg\9c\92\88\81wqjg\9c\92\88\81{tmg\9f\92\8b\81{tmj\9f\95\8e\85{tmj\9f\95\8b\85{tmj\9f\98\88\85{tqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85~tqj\9f\98\8e\85~wqj\9f\98\8e\85{wqj\9f\98\92\85~wqj\9f\9c\8e\85\81wqj¢\9c\8e\85~wqj¥\9c\92\85~wqj¢\9c\92\85~wqm¥\9f\92\88\81wqj¥\9c\92\88~wqm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88~{tj¥\9c\92\88\81{tm¥\9f\92\88\81wtm¥\9c\92\88\81{tm¥\9c\92\88\85{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm©\9f\95\88\81{tmä×Ðù¯¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôîäÚëûîäÚÓÊÀ×çÚÐƼ¶¯ÐÚÐƹ³¬¥ÊÓƼ¶¬¥\9fÊÐƹ³©¢\9fÊÐƹ¯©¢\9cÊÍƹ³©¢\9cÆÍù¯©\9f\98ÆÍÀ¹¬¥\9f\98ÀÊÀ¶¬¥\9c\95¼Æ¹¯©\9f\98\92¹Ã¹¬¥\9f\95\8e¶À¶¬\9f\9c\92\8e³¼¯©\9f\95\8e\88¯¹¯¥\9f\92\8e\85¯¹¬¢\9c\92\8b\85¬¶©¢\95\92\88\85¬³©\9f\98\8e\88\81¬³©\9f\95\8b\85\81¬¯©\9f\95\8b\85~©¯¥\9c\92\88\85~¥¬¥\9c\92\88\85{¥¬¢\98\8e\85\81{¢¬¢\98\92\85\81{¢¬\9f\95\8b\85\81w¢©\9f\95\8b\85~w\81\8b\85{qjg]ZPIC<62/,"\1f\18\11\ e\a\0\ 4\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,"\1f\18\11\v\a\ 4F<6/("\1f\1fZPF?92/,g]SMC?96md]SMF?<mj]VPIC?qj`VPIF?qj`ZPMFCwjgZSPICwmg]VPMF{qj`ZSPI\81tjd]VSI\85wmj`ZSP\85{qjd]VP\88~tjd]ZV\88\81tmj`]V\8e\85wqjd]Z\8e\85{tjg`Z\92\85~tmg`]\92\88~tmjd]\92\88\81wmjd]\92\88\81wqjg`\95\8b\85{qjg`\98\8b\85{tmg`\9c\8e\85~tmjd\9c\8e\85{tmjg\9f\92\85~tqjdÚÍù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÝÓîôëÝÓÊüÚáÓÊÀ¹¯©ÐÓÊÀ¹¬¥\9fÐÍù¯¥\9f\9cÍÍÀ¶¬¥\9f\98ÍÍÀ¹¬¥\9f\95ÍÊö¬¥\9f\95Êʼ¶¬¢\9f\95ÆÆÀ³¬¢\9c\92Æƹ¯©\9f\98\92Ãù¯¢\9f\95\8e¼À¶©¢\98\92\8b¼¼³©\9f\95\8e\88¹¹¯¥\9f\95\8e\85¶¹¬¢\9c\92\8b\85¶¶¬¢\98\8e\88\85³³©\9f\92\8e\88\81³³¥\9f\92\8b\85\81¯¯¥\9f\92\8b\85~¯¯¥\9c\92\88\85~¯¬¥\9c\8e\88\85{¬¬¢\98\8e\88\85{©¬\9f\98\8e\85\81{©¬\9f\98\8e\85\81w¥©\9f\92\8b\85~w¥©\9f\95\8b\85~w¢¥\9f\92\88\85~t¢¥\9f\92\88\85{t¢¥\9f\92\88\81{t¢¥\9c\92\88\81{t¢¢\9f\8e\88\81{q¢¢\9c\8e\88\81{t¢¢\9c\8e\85\81{q¢¢\9c\8e\85~{q¢¢\98\8e\85~wt¢¢\98\8e\85~wq¢¢\98\8e\85{wq¢¢\98\8e\85~wq\9f¢\95\8b\85~wq¢¢\95\8b\85~wm\9f¢\95\8b\85{tm\9f\9f\95\8b\85{wm\9f\9f\92\8b\81{tm\9f\9f\95\8b\85~tm¢\9f\95\8b\85{tm\9f\9f\95\8b\85~wm\9f\9f\92\88\85{tm\9f\9f\95\88\85{tm\9f\9f\95\8b\81{tm\9f\9f\92\8b\81{tm\9f\9f\95\8b\85{wm\9f\9f\92\8b\85{qm\9f\9f\92\88\81{tm\9f\9f\95\88\85{tm\9f\9f\92\88\81{tm\9f\9f\92\88\85{tm\9f\9f\95\88\81{tm\9f\9f\92\88\81{tm{\81{mj`]VPI?96//("\1f\18\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0(\1f\18\11\v\ 4\ 4\0C6/,%\1f\1f\eZPC?62/,j]SMF?96md]SMFC<tj`VPMCCtj`ZPMFCtjd]SPICwjd]SPIF{mg]VPMF~qj`ZSPI\81tjd]SPM\85wmg`ZSP\88{qj`]VP\88~wmd]ZS\8e\81wmg`ZS\8e\85wqj`]V\92\85{qjd]Z\92\85~tjg`Z\92\85~tmgd]\98\88\81wmjd]\98\88\81wqjd]\98\8b\85{qjg`\98\8e\85{tmg`\9f\8e\85{tmg`\9f\8e\85~tmjd\9f\92\85~tqjd\9f\92\88~wmjd\9f\92\88\81wqjd\9f\92\88\81wqjg¢\92\8b\81{qmg¢\92\88\81{tmg¢\92\8b\81{tmg¢\95\8b\81{tqj¢\98\8b\81{tmj¥\95\8b\85{tqj¥\98\8b\85~tmj¥\98\8e\85~wqj¥\98\8e\85~wqj¥\95\8e\85~tqj¥\98\8e\85~wqj¥\98\8e\85~tqj¥\98\8e\85~wqj¥\98\8e\85~wqj¥\98\92\85~wqm©\9c\8e\88~wqm¥\9c\92\88~{qj©\9c\92\88~wqj©\9c\92\85\81wqm©\9c\92\88\81wtj©\9c\92\85\81wtm©\9c\92\88~wtm©\9c\92\88\81{tm©\9c\92\88\81wtm©\9c\92\88\81wtm©\9f\92\88\81wtj©\9c\92\88\85{tm©\9f\92\88\81{tj©\9f\92\88\81{tmä×Íƹ³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôëäÚþûîäÚÐÆÀîäÚÐƼ¹¯äÚÐü³¬¢ÝÓƼ¶¬¥\9fÝÐƼ¯¬¢\9fÝÐƹ³©¢\9cÚÐù³©¢\98ÚÍù¯¥¢\9cÓÊÀ¶¬¥\9f\98ÓƼ¶¬¢\9f\95Ðƹ¯©\9f\9c\92Íù¯¢\9f\95\8eÆÀ¶©\9f\9c\92\8bƹ¯¥\9f\98\92\88ù¯¥\9c\92\8b\85ù¬¢\9c\92\8b\85À¶¬\9f\98\92\88\85¼¶©\9f\98\8e\88\81¹³©\9f\95\8b\88~¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¶¬¢\98\8e\88\85{¶¬¢\98\8e\85\81{¶¬¢\95\8e\85\81{¶©\9f\95\8b\85~w³©\9f\95\8b\85~w³©\9f\92\88\85~t³¥\9f\92\8b\85{t¯¥\9f\92\88\81{t¯¥\9c\92\88\81{t¯¥\9c\92\88\81{t¯¢\9c\8e\88\81{t¯¢\9c\8e\85~{t¬¢\9c\8e\85~{q¯¢\9c\8e\85~wm¬¢\98\8b\85~wq¬¢\98\8b\85{wq¬¢\95\8e\85~wq¬¢\98\8b\85~wq¬¢\98\8b\85{wm¬\9f\98\8b\85{tm¬\9f\95\8b\85{tq¬\9f\95\8b\85{tm¬\9f\98\8b\85~tm¬\9f\95\8b\85{tm©\9f\95\8b\85{tm©\9f\92\8b\85{tm©\9f\92\8b\85{tm©\9f\92\8b\81{tm©\9f\95\88\85{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\95\88\81{tm¥\9f\95\88\85{tq©\9f\92\88\81{tm\85\81{qjd]VPMC962,,%\1f\e\11\ e\v\ 4\ 4\ 1\0\0\0\0\0\0\0\11\a\ 1\0\0\0\0\0(\1f\18\11\v\ 4\ 4\ 1?6/,%\1f\1f\18VMC<62/,g]SMC?96mdZSMF?9tg]VPIC?wj`ZPMF?wj`ZSIIC{jdZSPIC{md]SPIF{qj`ZSPF\81tjd]SPM\85wmg`ZSP\88{qj`]SP\88~tjd]VS\8b~wmg`]S\8e\81wmjd]V\92\85{qjd]Z\92\85{tjd]Z\92\85~tmj`]\98\88~tmj`]\9c\88\81wqjg]\9c\8b\85wqjd]\9f\8b\85{tjg`\9f\8e\85{tmg`\9f\8e\85{tmjd\9f\8e\85~wmjdÚÍù³©\9f\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôëÿÿþøîäÚÓþñçÚÓÆùëÝÓʼ¹¯©áÓƼ¶¬¢\9fÚÍù¯¥¢\98×ÊÀ¶¬¥\9f\95×ÆÀ¶¬¢\9f\95×ʼ¶¬¢\9f\95×ʼ¶¬¢\9f\95×Ƽ³©¢\98\92Óù¯¥\9f\98\92Ðù¯¥\9f\95\8eÍÀ¶¬\9f\9c\95\8bʼ¯©\9f\98\8e\88ƹ¬¥\9f\92\8b\85ù¬¢\98\92\88\85ö©\9f\95\8e\88\85ó©\9f\92\8e\85\81À¯¥\9f\95\8b\85\81¼³¥\9f\92\8b\85~¼¯¥\9c\92\8b\85~¼¯¢\9c\8e\88\85{¹¬\9f\95\8e\88\81{¹¬\9f\95\8b\85~w¹¬\9f\95\8b\85~w¶©\9f\92\88\85~w¶©\9f\92\88\85{w\95\88\81wqjg`VPI?962/("\1f\15\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\18\ e\ 4\ 1\0\0\0\0,"\1f\15\11\ e\a\ 4F92,,"\1f\eZPF?96//gZSMC?96mdZPMF?<qg]VPIC?tj]VPMF?tj`ZSMFCwjd]SPIC{mg]VPMF~qj`ZSPI\81tjd]ZPM\85wmg]ZVP\88{qj`]ZP\88~tmg]ZS\88\81wmg`]V\8e\81wmjd]Z\92\85{qjd]V\92\85~tjg]Z\92\85~tmj`]\95\88~tmjd]\98\88\81wmjd]\95\8b\81wqjg`\9c\8e\85wqjg`\9c\8e\85{tjg`\9f\8e\85{tmj`\9f\8e\85{tmjdÚÍù¯¥¢\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿÿøîäÝÓþôçÝÓÊùîáÓÊù³©äÓÊÀ¶¬©\9fÝÐù¯©\9f\98ÚÍÀ¶¬¥\9f\95ÝÊÀ¹¬¥\9f\98ÚÊÀ¹©¥\9f\98Úʼ¶¬¢\9f\95×Ƽ³©¢\9c\95Óƹ³©\9f\95\92Ðù¯¢\9f\95\8eÍÀ¶¬¢\9f\92\8eʼ³©\9f\98\92\88ʹ¯¥\9f\92\8e\85ƹ¬¢\9c\92\8b\85ö©¢\98\8e\88\85ó©\9f\98\8e\88\81ó¥\9f\95\8e\85\81¼¯¥\9f\92\8b\85~¼¯¥\9c\92\88\85~¼¯¢\98\8e\88\81{¹¬\9f\98\8e\88\81{¹¬\9f\95\8e\85\81w¹©\9f\95\8e\85~w¹©\9f\95\8b\85~w¹©\9f\92\8b\85{w\98\8b\85wqjg]ZPI?962/,"\1f\15\11\v\a\ 4\a\ 1\0\0\0\0\0\0\18\ e\a\ 1\0\0\0\0,"\1f\15\11\ e\a\ 4C96/,%\1f\eZPF<622,gZSMC?96m`ZPMF?9qg]SPIC<qg]VPIF?tj`VPMF?wj`ZSPFCwmg]SPIF{qg]ZSPF\81tjd]VPI\85wmg]ZSP\85{qj`]VP\88{tjd]VS\8b~tmg]ZS\8e\81wmjd]S\8e\85{qjd]Z\92\85{tjg]Z\92\85~tmj`]\95\88~wmjd]\95\8b\81{qjg]\98\88\81wqjg`\9c\8b\85{qjg`\9c\8e\85{tmjd\9c\8e\85~tmjd\9f\8e\85~tqjgÚÍù¯©\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôîÿÿþôîäÚÓþôçÚÓÆùñáÓÊÀ¹¯©äÓÆÀ¶¬¥\9fÝÍù¯©\9f\98ÚÍù¬©\9f\95ÚÊÀ¶¬¥\9f\98ÚÊÀ¶¬¥\9f\98Úʼ¶¬¥\9c\98×Ƽ³©¢\9c\92ÓƼ³¥¢\98\92Óù¯¢\9f\95\8eÍö¬¢\9c\92\8bʹ¯©\9f\95\92\88ƹ¯¥\9f\92\8b\85ƹ¬¢\9c\92\8b\85ƶ¬\9f\98\8e\88\85ó©\9f\95\8e\88\81À³¥\9f\95\8e\85\81À³©\9c\92\8b\85~¼¯¢\9c\8e\88\85~¼¯¢\9c\8e\88\81~¼¬¢\98\8e\88\81{¹¬\9f\95\8b\88~w¹©\9f\95\8b\85~w¹©\9f\95\8b\85{w¹©\9f\92\88\85~w\9c\88\85wqjd]ZPI?962/,"\1f\18\11\v\a\ 4\v\ 4\0\0\0\0\0\0\18\11\a\ 4\0\0\0\0,%\1f\18\11\ e\v\aC<6/(%"\1fZPF?962/g]SMF?<6mdZSMF?<qg]VPIF?tj`VPMFCtj`ZSPICwjd]SPIF{mg]VPMF{qj]ZSPI\81tjd]VPP\85wmg]ZSP\85{qj`]VP\88~tjg]ZS\88\81wmg`]V\8b\81{qjd]V\8e\85{qjg]Z\92\85{tjg]Z\92\85~tmg`]\95\88~wqjd]\95\8b\81wqjd]\95\8b\85{qjg`\98\8b\85{qjg`\98\8e\85{tmj`\9c\8e\85{tmjd\9f\8e\85~tmjgÚÐù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûøîÿÿÿøîäÝÓÿñçÝÓÆùñá×ÆÀ¹³©äÓƼ¶¬¥\9fÝÊù¯©\9f\9cÚÊÀ¶¬¥\9f\95ÚÊÀ¶¬¥\9f\95×ÊÀ¶¬¥\9f\98×ʼ¶¬¥\9f\95ÓƼ³©¢\9c\95Óƹ³©\9f\98\92Ðù¬¢\9f\95\8eÍÀ³¬\9f\9c\92\8eʹ³©\9f\98\8e\88ƹ¬¥\9f\95\8e\85ƹ¬¢\9c\92\8b\85ö¬\9f\98\8e\88\85ö©\9f\98\8e\88\81À³©\9f\92\8e\85~À¯¥\9f\92\8b\85~¼¯¥\9c\92\8b\85~¼¯¢\9f\92\88\81{¹¬¢\98\8e\88\81{¹¬\9f\98\8e\88\81{¹¬\9f\95\8e\85\81w¹©\9f\95\8e\85~w¹©\9f\92\88\85~w\9f\8b\85wqjg]ZPIC962/("\1f\15\11\ e\a\ 4\v\ 4\0\0\0\0\0\0\15\ e\ 4\ 1\0\0\0\0,%\1f\15\11\ e\a\ 4C96/("\1f\1fVPF?96/,g]SMC?96m`]PMF?9qg]VPIC?qj`VPMF?tj`ZSMICtjd]SPICwmg]VPMI{qj`ZSPI~tjg]VSP\81wmg`ZSP\85{qj`]ZS\88~tjg]ZS\88~wmj`]V\8b\81wmjd]Z\8e\85{qjd]Z\92\85{tjg`]\92\88~tmj`]\95\88\81wmjd]\92\88\81wqjg]\95\88\85{tjg`\98\8b\85{qjg`\95\8e\85{tmjg\9c\8e\85~tmj`\9c\8e\85~tmj`×Íù¯¥\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿøîäÚÓÿñçÝÓÆùîáÓÊÀ¹¯©äÓƼ¶¬¥\9fÝÊù¯¥\9f\98Úʼ¶¬¥\9f\95Úʼ¶©¥\9f\95×ʼ¶¬¥\9c\95×ʼ¶©¢\9c\92ÓƼ³©¢\9c\95Óƹ¯¥\9f\98\8eÐù¯¢\9f\95\8eÍÀ³¬\9f\9c\92\8bʹ¯©\9f\98\8e\88ƹ¯¥\9f\95\8b\85ƹ¬¢\98\92\88\85ö©\9f\98\8e\88\85À³©\9f\95\8e\85\81¼¯©\9f\92\8e\85\81¼¯¥\9c\92\8b\85~¹¯¥\9c\92\88\85{¹¬¢\9c\8e\88\85{¹¬¢\98\8b\88\81{¹¬\9f\95\8b\88~{¹©\9f\95\8b\85~w¶©\9f\95\8b\85~w¶©\9f\92\88\85~w\98\88\81wqjd]ZSIC<62,,"\1f\18\15\ e\v\a\v\ 4\ 1\0\0\0\0\0\18\11\v\ 4\0\0\0\0,"\1f\15\11\ e\a\ 4C96,,"\1f\eVPF<92/,`ZPIC<96j`ZPMF?9mg]SPIC<mg]VPIC?qj`VPMF?qjdZPPFCtmd]VPMFwmg]ZSPI{qj`]SPI\81tmg]ZSP\85wqj`]SP\85{tjd]ZS\88~tjg`]S\88\81wmj`]V\8b\85{qjd]V\8e\85{qjg]Z\8e\85{tjg`]\92\88~tmj`]\92\88\81wmjd]\92\8b\81wqjg]\95\88\81wqjg`\95\8b\85{tjg`\98\8e\85{tmj`\98\8e\85{tmjd\9c\8e\85~tmj`\9c\92\85~wqjd\9c\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81{qmg\9f\92\88\85{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85~tqj\9f\95\8b\85{wqj\9f\95\8e\85{tqj¢\98\8b\85~tqj\9f\98\8e\85~wqj¢\95\8e\85{wqj¢\95\8e\85~wqj¢\98\92\85~wqj¢\95\8e\85{wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\88~wtj¢\9c\92\88\81wtj¥\9c\8e\85~wqj¢\9f\92\88~wtm¥\9c\92\85\81wtm¥\9c\8e\88\81wtm¥\9f\92\88\81{tj¥\9c\92\88\81wtmä×Íù³¬\9fÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿûñëáÚÿûîá×ÐÆÀôçÚÐƼ¶¯çÚÍù³¬¢ÝÓƼ³¬¥\9fÚÐù³¬¢\9fÚÐù¯©\9f\9cÚÍù¯©\9f\9cÚÍù¬¥\9f\98ÓÊÀ¶¬¥\9f\95ÓƼ¶¬¢\98\95Ðƹ¯¥\9f\95\92Íö¬¢\9f\92\8eÊÀ³¬\9f\9c\92\8bƹ¯¥\9f\98\8b\88ù¯¥\9c\92\8b\85ö¬¢\9c\92\88\85À¹©\9f\98\8e\88\81À¶©\9f\95\8e\88\81¼¯¥\9f\92\8b\85\81¼¯¥\9c\92\8b\85~¹¯¢\98\92\88\85~¹¯¢\98\8e\88\85{¹¬¢\98\8e\88\81{¹¬\9f\95\8e\85~{¶©\9f\95\8b\85~w¶©\9f\92\88\85{w\9c\8b\81{qjd]ZPIC<62/("\1f\15\11\v\a\ 4\a\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\0,%\1f\18\11\ e\a\ 4C<6/,""\eVPF?66/,dZSMC?96j`ZSMC?<mg]SPIC<qj`ZPIF?qj`ZPMFCtjd]SPICtmg]VPMFwqj`ZSPI~tjd]VSM\81wmg`ZSP\85{qj`]VP\88{tjg]ZS\88\81tmg`]Z\88\85wmjd]V\8b\85{qjd]Z\8e\85{tmg`Z\92\85~tmj`]\8e\88\81wmjd]\92\88\81wqjg`\95\88\81wqjg`\95\8b\85wqmg`\98\8b\85{qmg`\95\8e\85{tmj`\9c\8e\85~tmjdÚÐù¯©\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿÿøîäÚÓþôçÝÐÊùîáÓÊÀ¹¯©ÝÓƼ¶¬¥\9f×Íù¯¥\9f\95×ÊÀ¶¬¥\9f\95×ÊÀ¶¬¥\9f\95×ʼ¶¬¥\9f\92Óʼ¶©¢\9c\92ÓƼ³©¢\98\92Ðƹ¯¥\9f\95\92Íù¯¢\9f\92\8eÊÀ³¬\9f\98\92\8bƼ¯¥\9f\98\8e\88ù¬¥\9c\92\8b\85ù¬¢\9c\92\8b\85À¶©\9f\98\8e\88\81¼³©\9f\92\8b\85\81¼¯¥\9f\92\8b\85~¹¬¢\9c\92\8b\85~¹¬¢\9c\92\88\85~¹¯¢\98\8e\88\81{¶¬\9f\95\8e\88\81{¶©¢\98\8b\85\81{¶¬\9f\95\8b\85~{³©\9f\92\8b\85~w³©\9f\92\88\85~w³¥\9f\92\88\85{w¯¥\9c\92\88\81{t¯¥\9c\92\88\81{t¯¥\9c\8e\88\81{t¯¢\9c\8e\85~{t¯¢\98\8e\85\81wt¬¥\98\8e\85\81wt¬¥\98\8e\85~wq¬¢\98\8e\85~wq¬¢\98\8e\85\81wq¬¢\95\8e\85~wq¬¢\98\8b\85~tq©¢\95\8e\85~tq¬\9f\95\8e\85{tq©¢\95\8b\85~tm©\9f\92\8b\85{tm©\9f\95\8b\81{tq©\9f\92\8b\85{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\8b\81{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm©\9f\95\88\81{tm©\9f\92\88\81wtm©\9f\92\88\81wtm©\9f\92\88\81wtm©\9f\92\88\81wtm¥\9f\92\88\81{qj©\9f\92\88\81{qm¥\9f\92\88\81{tm\8b\81wmj`]SPI?96//("\e\15\11\v\ 4\ 4\0\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\18\11\v\a\ 4\ 1<6/,%\1f\1f\18SMC<62/,`ZPMF<96jdZSIFC<mj]VPIC?mj]ZPMFCqj`ZPMFCtj`ZSMICtmd]SPMFwmj`VPPF{qj`ZSPI~tjg]VPM\81wmj`ZSP\85{tjd]ZP\85~tjg]ZS\88~wmj`ZV\8b\85wqjd]V\8e\85wqjg]Z\8e\85{tmg`]\8e\85~tmgd]\92\88~wmjd]\92\88\81wqjd]\92\8b\85{qjg`\95\8e\85{tjg`\95\8e\85{tmg`\98\8e\85{tmjd\98\8e\85{tmjd\9c\8e\85~wmjd\9c\92\88~wqjg\9c\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\81wtjj\9f\92\88\81{qmj\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85{wqj¢\9c\8e\85{wqj¢\98\8e\85{tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wtj¥\9c\8e\85~wtj¢\98\92\88\81wtj¥\9c\92\88\81wqj¥\9c\92\88\81wtm¥\9c\8e\85~wtm¢\9c\8e\85~wqm¢\9c\8e\88\81wtm¥\9c\92\88\81wtm¥\9c\92\88\81wtmäÓÊù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþñÿÿÿûñëá×ÿûîä×ÐÆÀñäÚÍƼ¶¬ä×Íù³¬¢ÝÓƼ¶¬¢\9fÚÐƹ³©¢\9c×Ðù¯©¢\9cÚÍù¯©\9f\9c×Êù¯¥\9f\9cÓʼ¶¬¥\9c\95ÓƼ³©¢\9f\92³©\9f\95\8b\85~wqj`ZSMFC<6/,%"\1f\e"\e\11\ e\a\ 4\ 1\0,%\1f\18\11\ e\v\a<6/,%\1f\1f\18SMC<66/,d]SPFC<6mg]VPMF?tmg]VPMFwqg`VSPIwqj]ZSPI{qj`]SPI~tj`]VPM~tmg]ZPM\81wmg]ZSP\85{qjd]VP\85~tjg]ZS\88\81tmg`ZV\8b\85wmjd]Z\8b\85{qjd`Z\8e\85{qjg`]\8e\85~tmj`]\92\88~wmjd]\92\88\81wqjd]\95\8b\81wqjg]\95\88\85{qjg`\92\8e\85{tmj`\98\8e\85~tmjd\98\8e\85{tmjd\9c\92\85~wmjd\9c\92\88~wqjdÚÍù³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿÿøîäÝÓþñçÚÓÊùëÝÓÊÀ¹¯©ÝÓƼ¶¬¥\9f×Íù¯¥\9f\9cÓÆÀ¶¬¥\9f\95ÓÆÀ¶¬¥\9f\95ÓƼ¶¬¥\9f\95ÓƼ³¬¢\9f\92Óƹ¯©¢\9c\92Íù¯¥\9f\98\8eÊÀ¹¬¢\9c\92\8bƼ³©¢\98\92\88ù¯¥\9f\95\8e\88ù¬¢\9c\92\8e\85¼¶©¢\98\92\88\85¼³©\9f\98\8e\88\81¼³©\9f\95\8b\88~¹¯¥\9f\92\8b\85~¹¯¥\98\92\88\85~¹¬¢\9c\8e\88\85{¹¬¢\98\8e\85\81{¶¬\9f\95\8e\85\81w³©\9f\95\8b\85\81w³©\9f\95\8b\85~w³©\9f\92\88\85~w¯¥\9c\92\88\85{t¯¥\9f\92\88\81{t¯¢\9c\8e\88\81{t¯¢\9c\8e\85~{q¬¢\98\8e\85~wt¬¢\98\8e\85~wt¬¢\98\8e\85~wq¬¢\9c\8b\85~wq¬\9f\98\8b\85~wq©¢\95\8b\85~wm¬\9f\95\8b\85{wm©\9f\98\88\85{wm©\9f\92\8b\85{tm©\9f\92\88\81{tm©\9f\95\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\95\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88\81wtm¢\9f\92\88\81{tm¥\9c\92\88\81wqj¥\9f\92\88\81wtj¥\9f\92\85\81wqm¥\9f\92\88~wqm¥\9f\92\88\81{tj¥\9c\92\88~wtm¥\9c\92\85~wqj¥\9c\92\85\81wqj¢\9f\92\88~wqj¥\9f\92\85~wqj¥\9f\8e\88~wqj¥\9f\92\88~wtj¥\9f\92\88~wqj¥\9c\92\88\81wqj¥\9c\92\85~wtj¢\9c\92\88~wqj¢\9f\92\85~wqj¥\9c\92\88~wqj¥\9c\92\88~wqj¢\9f\92\88\81wtj¥\9c\92\88\81wqj¥\9c\92\88~wqj¥\9f\92\85~wqm¢\9f\92\88~wqj¥\9c\92\88\81wqj¥\9c\92\85\81wtj¥\9f\92\88~wqj¥\9c\92\88~wqj¥\9c\92\88\81wqj¥\9c\92\88\81wtj¥\9c\92\85~wqm¥\9c\92\88~wqj¢\9c\92\88~wtj¢\9c\92\85~wqj¢\9c\92\88\81wqm¢\9c\92\85\81wtj¥\9c\92\85~wtm¥\9f\92\88\81{tm¥\9f\95\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tm©\9f\95\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\85{tm¥\9f\95\88\85{tm¥\9f\92\88\85{tm©\9f\95\88\85{tm¥\9f\95\88\85{tm©\9f\92\8b\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\95\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tj¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81wtm¥\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm\88\81wmj`]ZSMC962/(%\1f\18\11\ e\11\ 4\ 4\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\15\11\v\a\ 4\ 1<6/,"\1f\e\18SMC<62/,dZSMC<96jd]SMFC<mj]VPMF?qj`ZSMF?qj`ZSPI?tjdZSPICtmd]VPIFwmj]VSPI{tj`ZVPI~wmg]ZSP\81wmj`ZVP\85{tj`]VP\85~tmg]ZS\88\81wmj`]V\8b\85wmjd]V\8b\85{qjd`Z\8e\85{tmg]Z\8e\85{tmg`Z\92\88~tmj`]\92\88~wqjd]\92\88\81wqjd`\95\8b\85wqjg`\95\8b\85{tjg`\98\8b\85~tmj`\98\8e\85{tmj`\9c\8e\85~tmjd\9c\8e\85~wmjd\9c\92\88~wmjg\9c\92\88~wqjg\9c\92\88~wqjg\9c\92\88\81wqjg\9f\92\8b\81wqmj\9f\92\88\81{tmg\9f\95\8b\85{tmj\9f\95\8b\81{tmj\9f\95\8e\85{tmj\9f\92\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85{wqj\9f\98\8b\85{wqj\9f\98\8e\85~tqj\9f\98\8b\85{tqj\9f\98\8e\85~wmj¢\98\8e\85~wqj\9f\98\8e\85~wmj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wtm¢\9c\8e\85\81wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\95\92\85~wqj¢\98\8e\88\81wqj¢\9c\8e\85\81wtj¢\9c\8e\85\81wqj¢\98\8e\85~wqj¥\9c\8e\85~wqm¢\9c\92\88~wqj¥\9c\8e\85\81wtm¢\9c\8e\85~{tm¥\9c\8e\88\81wtj¢\9c\92\85\81wtm¥\9c\92\85\81{qm¥\9c\92\85~wtj¥\9c\92\88\81wqm¥\9c\8e\88\81wtm¥\9c\92\85\81wtm¥\9c\8e\85~{tm¢\95\8e\88\81{tm¢\9c\92\85\81wtm¢\98\8e\88\81{tm¥\98\92\88\81{tm¢\9c\8e\85\81wtm¥\9c\92\88\81{tj¢\9c\92\88\81{tm¥\9c\92\85\81wtj¥\9c\92\85~wtm¢\9c\92\85\81wqm¥\9f\92\88~{tm¢\9c\92\88\81{qm¢\9c\92\88\81wqj¢\9c\8e\85\81{tj¥\9c\92\88\81wqm¢\9c\8e\88\81{tm¥\9c\92\88~wtm¥\9c\92\85\81wtm¢\9c\92\88\81{tj¢\9c\92\85~{qj¥\9c\8e\88~{tm¥\9c\92\88\81wtm¢\9c\8e\88\81{tj¥\9c\8e\85\81wtm¢\9f\92\88\81wtm¢\9c\92\85\81wtj¢\9c\92\85~wqm¢\98\92\88~wtm¢\9c\92\88\81wtj¢\9f\8e\88\81{tm¢\9c\92\88\81wqj¢\9c\92\85\81{qm¢\9c\8e\85~wtm¥\9c\8e\85~{qm¢\9c\92\85\81wqm¢\98\92\85~wtj¢\9c\92\88~{tm¢\9c\92\88\81{tm¢\9c\8e\85\81wtm¢\9c\8e\85\81wtm¢\98\8e\85~{tm¢\9c\8e\88\81wtm¢\9c\8e\88~{qm¢\9c\92\88\81{tm¥\9c\92\88\81{tm¬¢\98\8e\85\81{t¬¢\9c\8e\88\81{t¢\9f\92\88\81{qm\95\8b\85{tmj`\88\81wmjd]V\81wqj`]VP~tmg]ZSP\81wmg]ZSP\85{qj`]VP\88\81wmg`ZS\8b\81wqjd]Z\8e\85{tmg`]\92\85~wmjd]\92\88\81wqjd]\92\88\81{qjg]\95\8b\81wqjg]\95\8e\85{tjg`\95\8b\85{qmg`\98\8e\85{tmj`\98\8e\85~tmjd\9c\92\85~wmjd\9c\92\88~wqjg\9c\92\88~wmjg\9c\92\88\81wqmg\9f\92\88\81wtmg\9f\92\88\81wtjj\9f\92\88\81wtmj\9f\92\88\85{tmj\9f\95\8b\85{tqj\9f\92\88\85{tmj\9f\95\8b\85{qmj\9f\95\8e\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{wqj\9f\98\8b\85~wqj\9f\95\8b\85~tqj\9f\95\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8b\85{wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85\81wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqm¢\9c\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~{qj¢\9c\8e\85~wqm¢\98\8e\85\81wqm¢\9c\8e\85\81wtj¢\9c\8e\85~wtj¥\98\8e\85~wqj¥\98\8e\85~wtm¢\9c\8e\85~wtm¥\98\92\88~wtm¢\9c\92\85~wtj¢\9c\92\85~wtm¢\9c\8e\85~wqm¢\9c\8e\85~wtj¢\9c\92\85\81wtj¥\9c\92\88\81wtj¢\9c\92\88~wtm¢\98\8e\85\81{tm¢\9c\8e\85\81{tm¥\9c\92\85~{tm¢\9c\92\85~wtm¥\9c\92\85\81{tm¢\9c\8e\85\81wtm¢\9c\8e\88\81{tm¢\9c\92\88\81wtm¢\9c\92\88\81wtm¥\9c\92\85\81wtm¢\9c\92\88\81{tm¢\9c\92\85\81wtm¢\9c\92\88\81wtm¢\9c\92\88\81{tmÓÍù¯©¢\9cÿÿÿÿÿþøîÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøÿÿÿÿûñëáÿÿûñäá×Ðÿûîá×ÐÆÀøîáÓÊƼ¶îçÚÐƼ¶¯ëáÓÊÀ¹³¬ëÝÓƼ¹¬©äÝÓƹ¶¬¥á×Íù¯©¢áÓÆÀ¶¬¥\9fÚÐü³©¢\9fÓÍù¯¥\9f\9cÓƼ³¬¢\9f\95Ðƹ¯¥\9f\98\92ÊÀ¹¬¢\9c\92\8eÆÀ¶¬\9f\9c\92\8bù¯©\9f\95\8e\88ù¬¥\9c\95\8b\85À¶¬¢\9c\92\88\85¼¶¬\9f\95\92\88\85¼³©\9f\95\8e\85\85¹³¥\9f\92\8b\85~¹¯¥\9c\92\8b\85~¹¬¥\9c\92\88\85~¶¬¢\98\8e\88\81{¶¬¢\95\8e\85\81{\98\8e\85{tmg`]SMF?962,(\1f\18\15\11\v\v\v\ 4\ 4\0\0\0\0\0\18\11\v\ 4\ 1\0\0\0,(\1f\e\15\11\ e\aF?92,(%\1fZPMC<92/g]ZPIC?9mg]VPIF?qj`ZPMFCtjdZSMICtjdZSPICtjg]VPICwqg`ZPPF{tj`ZSPI~tmd]ZPM\81wmj`ZSP\85{tj`]VP\85~tjd]ZS\88~wmg`ZS\88\81wmj`]Z\8b\85{qjd]Z\8e\85{qmg]Z\8e\85~tmg`]\8e\85~tmjd]\92\88\81wmjd]\92\88\85wqjg`\95\8b\85{qjg]\95\8b\85{qjj`\98\8b\85{tmj`\98\8e\85{tmjd×ÊÀ¹¬¥\9f\9cÿÿÿÿÿÿÿøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôëÿÿþøëá×ÓûîäÚÐÆÀ¹çÝÓƼ¹¬©ÚÐü³¬¥\9f×ʼ¹¬©\9f\98Óʼ¶©¥\9f\95Óƹ³©¢\98\95Ðʹ³©¢\9c\95Ðƹ³©¢\9c\92Íƹ¯©\9f\98\92Êö¬¥\9f\95\8eÆÀ³¬¢\9c\92\8bƹ¯©\9f\95\92\88ù¯¥\9c\95\8e\85À¹¬¢\98\92\8b\85À³©\9f\98\8e\88\81¼³¥\9f\95\8e\85\81¹¯¥\9f\95\8b\85~¹¯¢\9c\92\8b\85~¹¯¢\9c\8e\88\85{¹¬\9f\98\8e\85\81{³¬\9f\98\8e\85\81{³©\9f\95\8b\85\81w¯©\9f\95\8b\85~w³©\9c\92\88\85{w¯¥\9c\92\88\81~w¯¥\9c\8e\88\85{t\92\88{tmj`]SPC?96/,(\1f\18\15\ e\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\18\15\ e\v\a\ 4?9/,("\1f\eSMC<62/,`VPFC<96j`VPIC?9mdZSMFC<mg]SPIC?mg]VPIF?qj]ZSPFCtj`ZSPICwmg]VSPF{qj`]SPM~tjg]ZSM\81wmg`ZVP\85{qjd]VP\85~qjg`ZS\88~tmg]]V\88\81wqjd]Z\8b\81wqjd`Z\8e\85wqjg`Z\8e\85{tmj`]\92\88~wmj`]\92\88\81wmjd]\92\88\81wqjg`\92\88\81wqjg`\92\8b\85wtjj`\95\8b\85{tjj`Óʼ¹¬¥\9f\95ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîÿÿþôëá×ÐûñäÚÐÆÀ¹çÝÐƼ¶¯©ÚÓü¯©¢\9f×ÊÀ¹¬¥\9f\98ÓƼ³©¢\9f\92Óƹ³¬¢\9c\95ÓƼ¶©¢\9c\92Óƹ³¥\9f\9c\92Íƹ¯¥\9f\98\92Êƹ¬¢\9f\95\8eÆÀ¶¬\9f\9c\92\8bƼ¯©\9f\98\8e\88ù¯¥\9c\92\8b\85À¹©¢\95\92\8b\85¼¶©¢\95\92\88\81¼³¥\9f\95\8e\88\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¶¬¢\9c\8e\88\85{¶¬\9f\98\8e\88\81{¶©\9f\95\8b\88\81{³©\9f\95\88\85~w³©\9f\95\88\85~w¯¥\9f\95\88\85{w¯¥\9c\92\88\85{t¯¥\9c\92\88\85{t\92\88~wmj`]VPI?962,("\1f\15\11\v\a\ 4\a\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\ e\v\a\ 4?62,%"\1f\eSMC<62/,`VPI?<96j]VPIC<9j`]SMF?<md]SPIC?qg]VPIF?qj`VPMF?tjd]SPICwmg]VPPF{qj`ZSPI~tmd]VPP\81wqg`ZSP\85{qjd]VP\85~tjd]ZS\88~tmj]]S\8b\81wmj`]V\8b\81{qjd]Z\8e\85{qjddZ\8e\85~tmj`Z\8e\88~tmj`]\92\88\81wqjd]\92\88\81wqjg]\95\88\85wqjg`\95\8b\85{tmj`\98\8b\85{tmgdÓÊÀ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþñçá×Ðøîä×ÍƼ¹äÚÐƹ¶¬¥×Ðƹ¯¬¢\9fÓÊÀ¶¬¥\9f\95ÐƼ¶©¢\9c\92ÐƼ³©¢\98\92ÓƼ³©¢\9c\92ÐƼ³©\9f\9c\92Ðƹ¯¥\9f\98\92Íù¬¢\9f\92\8eƼ¶©\9f\9c\92\8bƼ³©\9f\98\8e\8bù¯¥\9c\92\8b\85ö¬¢\95\92\88\85¼¶©\9f\95\92\88\85¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¥\9c\92\88\85{¶¬¢\98\8e\88\81{¶¬¢\98\8e\88\81w¶©\9f\95\8e\85~{³©\9f\95\8b\85~w¯©\9f\92\88\85{w¯¥\9f\95\88\85{w¯©\9c\92\88\85{t¯¥\9c\92\88\81wt\92\88~wmj`]SPF?92/,("\e\15\ e\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\1f\15\11\v\a\ 4?92,("\1f\eSMC<66/,`VPIC<66j]VPIF?<jd]SPFC<mg]VPIC?qj]VPMFCqj`ZSPICtjd]SPIFwmg]ZSMF{qj`ZVPI~tjd]VPP\81wmg`ZSP\85{qjdZVS\85{qjd]ZS\88~tmg`]S\88~wmj`]V\8e\85wqjd]Z\8e\85{qmg`Z\8e\85~tjg`Z\8e\88~tmj`]\92\88~wmjd]\92\88~wqjd]\92\88\81wqjg]\92\8e\81{qmj`\95\8e\81{qjg`ÓÊÀ¶¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôëÿÿþôëá×ÐûñäÚÐÆÀ¹çÝÐƼ¶¯©ÚÐü¯¬¢\9fÓʼ¶¬¥\9f\98ÓƼ³©¢\9c\92Ðƹ³©¢\9f\92Óƹ³©¢\9c\92Ðƹ³©¢\9c\92Íƹ¯¥\9f\95\8eÊó¬¥\9f\95\92ʼ³¬\9f\9c\92\8bƹ¯©\9f\95\8e\88ù¬¢\9f\95\8b\85¼¶©¢\98\92\88\85¹³©\9f\95\8e\88\85¹³¢\9f\92\8b\85\81¹¯¢\9f\92\8b\85~¹¬\9f\9c\8e\88\85~¹¬\9f\98\8e\88\81{³¬\9f\95\8e\88\81{³©\9f\95\8e\85\81w³©\9f\92\8b\85~w³©\9c\95\88\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\81{w¯¥\9c\92\88\85{t\92\88~tmg`]SPF<96/,(\1f\18\11\ e\v\a\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4?6/,("\1f\18SMC962/,`VPFC<92g]SPIC?9j`ZSMFC<md]SPFC<mg]SPIF?qj]VPMICqj`ZSPICwmg]VPMF{qj]ZSPI~tjd]VSM\81wmg`ZVP\85{qj`ZZS\85~qjd]ZS\88~tmg`]V\88\81wmgd]V\88\81wqjd]V\8b\85{qjg`Z\8e\85{tmj`]\92\88{tmjd]\92\88\81tqjd]\92\88\81{qjg]\95\88\81wqjg`\92\8b\85{tmj`\95\8b\85{tmj`Óʼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñëÿÿþôëá×ÓûñäÚÐÆÀ¹çÝÐƼ¶¬©ÚÐƼ³©¢\9fÓʼ¶¬¥\9f\98ÓƼ¶¬¢\9c\92ÓƼ³©¢\9c\92ÓƼ³©¢\9f\95Ðƹ³©\9f\98\92Ðƹ¯¥\9f\95\92Íù¬¢\9f\98\8eÆÀ¶¬\9f\9c\92\8bƼ¯©\9f\98\92\88ù¯¥\9c\95\8e\85À¶¬¢\95\92\88\85¼³©\9f\95\8e\88\85¼³©\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¶¬¢\98\8e\88\81{¶¬\9f\98\8e\85\81{¶¬\9f\95\8b\85~{³©\9f\95\8b\85~{¯©\9f\92\88\85~w¯¥\9f\92\88\85{w¯¥\9c\92\88\85{w¬¥\9c\8e\88\85{t\8e\88~tmg`]SPF?96/,("\e\15\11\ e\v\a\v\ 4\0\0\0\0\0\0\15\ e\v\ 1\0\0\0\0,"\1f\18\11\11\v\a?92/(%"\1fSPF<66/,`ZPIC?92j`ZPFC?9jd]SMFC<mg]SPIC?mg]VPIC?qj`VPPF?tjdZSPICwmg]SPMFwqj`]SPI{tjd]VPP\81wmg`ZSP\85wqjd]VP\85{tjd]ZS\85{tmg`ZS\88~wmg`]S\88\85{qjd]Z\8b\85{qjd]Z\8e\85~tjg`Z\8e\85~tmj`]\92\85\81tmjd]\92\88\81wqjg]\92\88\81wqjg]\92\8b\81wqjg`\95\8e\85wtmg`ÓÊö¬¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûñçÿÿþñëá×Ðûîä×ÐƼ¹çÚÓƼ¶¬©ÚÐƹ³©\9f\9cÓÆÀ¶¬¥\9f\95ÓƼ¯©¢\9c\92ÐƼ³©¢\9c\95ÐƼ³©\9f\9c\92ÐƼ¯©\9f\9c\92Íù¯¥\9f\95\8eÊÀ¹¬¢\9f\92\8eƼ³¬¢\9c\92\8bƹ³©\9f\98\8e\88ù¯¢\9c\92\8b\85À¹¬\9f\98\92\88\85¼¶©\9f\95\8e\88\81¼³©\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¥\9c\92\88\85{¹¬¢\98\92\88\85{¹¬¢\95\8e\88\81{¶¬\9f\95\8b\85~w³©\9f\95\8b\85~w³©\9f\92\8b\85~w¯¥\9f\95\88\85{w¯¥\9f\92\88\85{t¯¥\9c\92\88\81{t\92\88~tmj`]VPF?96/,(\1f\18\11\ e\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\a\ 4?92,("\1f\1fSPF<62/,`ZPFC<66j`VPIC?9j`ZSPFC<mg]SPIC?mj]ZPMF?qj`ZPMFCtjd]SPMFwmg]ZSPF{qj`ZSPM~tjg]ZSP\81wmg`]VP\85{qj`]ZS\85{tjg`ZS\88~tmj`]V\88\81wmjd]Z\8b\85wqjg`Z\8e\85{tmg`]\8e\85{wmgd]\8e\88~wmjd]\92\88~wqjd]\95\88~wmjg]\92\8b\81wqjg`\95\8b\85wtmg`\98\8e\85{tmj`Óʼ¶¬¥\9f\95ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôëÿÿþñîáÚÓûîä×ÐÆùçÝÐƼ¶¯¥ÚÐù³¬¥\9cÓʼ¶¬¥\9f\95ÓƼ³¬¢\9c\92Óƹ³¬¢\9c\92ÓƼ³©¢\9c\92ÐƼ³©\9f\9c\92Ðƹ¯©\9f\98\92Íö¯¥\9f\95\8eƼ¶©¢\9c\92\8bƹ¯¥\9f\95\92\88ù¬¢\9c\92\8e\85À¶¬\9f\9c\92\8b\85¼³©\9f\98\8e\88\85¹³¥\9f\92\8b\88~¹¯¢\9c\92\8b\85~¹¬¢\9c\92\88\85~¹¬¢\9c\8e\88\85{¶¬\9f\95\8e\88\81{¶©\9f\95\8b\85\81w³©\9f\92\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85~t¬¢\9c\8e\88\81{t¬¢\9c\92\88\81{t\92\88~tmg`]SPF?92/,(\1f\e\15\ e\v\a\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\e\11\ e\v\ 4\ 1?9/,("\1f\18SMC962/(]ZPF?<62g`VPFC?9jdZPMFC<jd]SMIC<mg]SPIF?qj]VPMF?qj`ZSPICwmg]VPMFwqj`ZSPI~tjd]SSI\81wmg]ZSP\85wqj`]VP\85{qjd]ZP\85~tmg]ZS\88~tmj`ZV\88~wmjd]Z\8e\85{qjg]Z\8e\85{tjg`Z\8e\85~tmg`]\92\88~wmjd]\92\88\81tmjd]\92\88\81wqjg]\95\88\81wqmg`\95\8b\85{qmjdÓʼ³¬¥\9f\98ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøÿÿÿÿþøîçÿÿøñçá×Ðøîá×ÍƼ¹äÝÐƹ¶¬©×Ðù¯¬¢\9fÓʼ¶¬¥\9f\95Óƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³¥¢\95\92Íù¯¥\9f\98\8eÊö¬¢\9f\92\8eƼ³¬\9f\9c\92\8bƼ¯¥\9f\98\8e\88ù¯¥\9c\95\8b\85¼¶¬¢\98\92\88\85¼³©\9f\92\8e\88\85¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8e\85~¹¯¢\9c\8e\8b\81~¶¬¢\9c\8e\8b\81{¶¬¢\95\8e\88\81{³©¢\95\8e\85~{³©\9f\95\8b\85~w³©\9f\95\8b\85~w¯¥\9f\92\88\85{w¯¥\9c\92\85\85{t¬¢\9c\92\88\81{t¬¢\9c\92\85\81{t¬¢\98\8e\85\81wt¬¢\9c\8e\85~wq¬¢\98\8e\85\81wt©¢\95\8e\85~wq©\9f\95\8b\85~wq©\9f\9c\8b\85~tq©\9f\95\8e\85~tq©\9f\95\8b\81~tq©\9f\92\8b\81~tq©\9f\92\88\85~tm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{qm¢\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\95\88\81{qm¥\9f\92\88\81{qm¥\9c\92\88~{qm¥\9f\92\88~wqm¥\9f\92\88~{qj¢\9f\92\88~wqj¥\9f\92\88~{qm¢\9c\92\88~{qm¢\9f\8e\88~wqj¢\9f\8e\88~wqj¢\9c\8e\88~wqm¥\9f\92\88~wqj¢\9c\92\85{{mm¥\9c\8e\88\81wqm\88\81tmg`ZSPF?96/(%"\e\15\ e\a\ 4\ 4\0\ 1\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\15\ e\a\ 4\ 1\096/("\1f\e\15SIC962/(`ZPIC<66j`ZPPC?9mg]SPIC?mg`VPIFCqj`ZPMFCqj`ZSPICtjd]SPIFwqg`ZSPF{qj`]VPM~wjg]VSP\81wmg`]VP\85{qjd]VS\85~tmg`ZS\88\81wmj`]V\8b\81wmgd]V\8b\85wqjd]Z\8b\85{qjg`Z\8e\85{tmj`]\8e\85~tmjd]\92\88~wqjd]\92\88~wqjd]\92\8b\81wqjg`\95\88\81wqjj`\95\8b\85{tjg`\98\8e\85{tmjd\98\8e\85~tmjd\98\8e\85{tmjd\98\8e\85~wmjg\9c\8e\85~wmjd\9c\92\85~wqjg\9c\92\88~wqjg\9f\92\85~wtjg\9f\92\88\81wqmg\9f\92\88\81wqmg\9f\92\88\85{tmg\9f\95\8b\81{tmj\9f\95\8b\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85~wqj\9f\95\8b\85~wmj\9f\95\8e\85{tqj\9f\95\8b\85~wqj\9f\98\8b\85~wqj\9f\95\8b\85~wqj\9f\98\8b\85{wqj\9f\98\8b\85~wqj\9f\95\8b\85~wqj¢\98\8e\85~wqj\9f\95\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wtjÝÓÆÀ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçá×þôëáÓÍƼîäÓÊù¶¬á×ÊÀ¹¯©\9fÚÍù¯©¢\9c×ÍÀ¹¯¥\9f\98×ʼ¶¬¥\9f\98×ʼ¶¬¥\9f\98Óʼ¶¬¥\9f\95ÓƼ³©¢\9f\92Ðƹ¯©\9f\9c\92ÊÀ¹¬¢\9f\95\8eʼ¶©\9f\9c\92\8bƼ¯©\9f\95\92\88ù¯¢\9c\92\8b\85¼¶¬¢\9c\92\8b\85¼³©\9f\95\8e\88\81¼³¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¹¬¢\98\92\88\85{¹¬¢\95\92\88\85{¶¬\9f\95\8e\88\81{³©\9f\98\8e\85\81w³¥\9f\92\8b\85~w³©\9f\92\8b\85{w¯¥\9c\92\88\85~w¯¥\9c\92\88\81~w¯¥\9c\8e\88\81{t¬¢\98\8e\88\81{t¬¢\98\8e\85\81{q¬\9f\98\8e\85~wq¬\9f\95\8e\85~wq¬\9f\95\8e\85{wq©\9f\95\8b\85~wq©\9f\95\8b\85{wq©\9f\95\88\85{wq©\9f\95\88\85~wm©\9f\92\88\81{wm©\9f\95\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\85{tm¥\9f\92\88\81{qj¥\9c\92\88\81wtm¥\9c\92\88\81wtj¥\9c\8e\88~wqj¥\9c\92\85~wtj¥\9c\8e\85\81wtj¥\9c\92\88~wqj¢\9c\92\85~wqj¢\9c\92\85~wtj¥\9c\8e\85~wqj¥\9c\92\85~wqj¢\9c\8e\88~wqj¢\9c\92\85\81wqj¥\9c\92\85~wqj¥\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85{wqj\85~tjg]ZSPF?62/,(\1f\e\15\ e\a\v\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\e\15\ e\v\ 4\ 1\092/("\1f\e\15PIC96/,(]ZPIC<66j]VPIC?9jd]SPFC<mg]SPIF?mg]VPMF?mj`VSMFCqjdZSPICtmg]SPMFwqg]ZSPI{tj`]SPI~wmg]ZSP\81wmj`ZSP\85{qjd]VP\85~tjg`ZS\88~wjg`]V\88\81wqjd]V\8b\81{qjd]V\8b\85{tjd]Z\8e\85{qjj`Z\8e\85~tmj`]\8e\88~wmjd]\92\88\81wmjg`\95\8b\81wqjg`\92\8b\85wtjg]\95\8b\85{tmg`\98\8b\85{tmjd\98\8e\85{tmgd\98\8e\85~tmjd\98\8e\85{wmjd\9c\92\85~wqjd\9c\8e\88~wqjg\9c\92\88\81wqjd\9c\92\88~wtjg\9f\95\88\81wtjg\9f\92\88\81{tmg\9f\95\88\81{tmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\88\85~wqj\9f\95\8b\85~tqj\9f\95\8b\85{tqj\9f\95\8e\85{wmj\9f\98\8e\85{wmj\9f\98\8e\85~wmj\9f\98\8b\85~wqj\9f\95\8e\85~wmj\9f\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85{wqj¢\98\8e\85~tqjáÓƼ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûîçáÓþôëá×ÍƼîä×Íù³¬á×ÊÀ¹¯©¢ÚÐù³©¢\9cÓÍÀ¹¬©\9f\98ÓÍÀ¶¯¥\9f\9cÓÊÀ¹¬©\9f\95Óʼ¶¬¥\9f\95Óƹ¶©¥\9c\92Ðƹ¯¥\9f\98\92ÊÀ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bƹ¯¥\9f\98\8e\88À¹¬¢\98\92\8e\88À¶©\9f\98\92\88\85¼³©\9f\95\92\88\85¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¶¯¢\9c\92\88\81~¶¬\9f\9c\8e\88\81{³¬\9f\95\8b\88\81{³©\9f\95\8b\88~{³©\9f\95\8b\85~w¯¥\9c\92\88\85~t¯¥\9c\92\88\85{t¬¥\9c\92\88\81{t¬¥\9c\92\88\85{t¬¢\95\92\85\81{t¬¢\98\8e\85\81wt¬¢\98\8b\85\81wt©¢\95\8b\85~wq©\9f\92\8e\85~wq©\9f\92\8b\85~wq©\9f\92\8b\81{tm©\9f\92\8b\81{tq©\9f\92\8b\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\8e\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¢\9f\8e\88\81wqm¥\9f\8e\88~{tm¢\9c\8e\88~wqm¥\9c\8e\88~{tm¥\9c\8e\88~{qj¥\9c\8e\88~wqj¢\9c\8e\88~{qm¢\9c\8e\88~{qj¢\9c\8e\85~wqm¢\9c\8e\88~wqm¢\9c\8e\88~{qj¢\9c\8e\88~{qj¢\9c\8e\88~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqm¢\9c\8e\88~{qj\85~tmd`ZSPF?92/,("\1f\15\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\e\15\ e\v\a\ 4\ 1<6,("\1f\e\15PI?96//,`VPFC<66g`VPIC?9jd]SPFC<md]SPIC<mg]VPIF?mg]ZPMICtj`ZSPICwjd]VPIFwmg`ZSPF{tj`]VPM~wmg]ZSP\85{qj`ZVP\85{tjd]ZS\85~tmg]ZS\88\81wmj`]V\88\81wmjd]V\8e\85{qjd]Z\8e\85{tmg`Z\8e\85{tmjd]\92\85~wmj`]\92\88~wqjd]\92\88\81wqjg`\92\88\81{qjg`\95\8b\85{qmg`\98\8e\81{tjj`\98\8e\85{tmjd\98\8e\85{tmjd\98\8e\85{wmjd\98\8e\85~wqjg\9c\8e\85~wqjg\9c\92\85~wqjg\9c\92\88\81{qmj\9c\92\88\81wqjg\9f\92\88\81{tmg\9c\92\88\81{tmj\9f\92\8b\81wtmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\9f\95\8e\85{wqj\9f\95\8e\85{wmj\9f\95\8b\85{wqj\9f\98\8e\85~wmj\9f\98\8b\85{tqj\9f\95\8e\85~tqj¢\98\8e\85{wqj¢\98\8e\85~wmj\9f\95\8b\85~wqj\9f\95\8e\85~wqj¢\98\8e\85{tqjÝÓƼ¶¬¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîçÝ×þøëÝÓÍƼîáÓÊÀ¹³¬ÝÓÊÀ¶¯©¢×Ðù¯©\9f\9cÓͼ¹¬©\9f\9cÓÊÀ¶¬¥\9f\95ÓƼ¹¬¥\9f\98ÓƼ¶©¥\9c\95Ðƹ³©¢\9c\92Íù¯¥\9f\98\8eÊÀ¶¬¢\9f\92\8eƼ³©\9f\9c\8e\8bù¯¥\9f\95\8e\88À¹¬¢\98\92\8b\85¼³©\9f\95\8e\88\85¹³¥\9f\92\8e\85\81¹¯¢\9f\92\8b\85~¶¬¢\9c\8e\8b\85~¶¬\9f\98\8e\88\81{¶¬\9f\98\8e\88\81{³©\9f\95\8b\88~{³©\9f\95\8b\85~w¯¥\9c\95\88\85{w¯©\9c\92\88\85{t¬¥\98\8e\88\81wt¬¢\98\8e\85\81{t¬¢\95\92\85\81wt¬¢\98\8b\85\81wq¬¢\95\8b\85~wq©\9f\95\8e\85\81tq©\9f\92\8b\85~wq©\9f\92\8b\81~tm¥\9f\92\88\85{tm©\9f\92\88\81{tm¥\9f\92\88~{tm©\9f\8e\8b\81{tm¥\9f\92\8b\81{tm¥\9f\8e\88~{qm¥\9f\92\88\81{qm¢\9c\8e\88~{qj¥\9c\8e\85~wqj¢\9c\8e\88~{qj¢\9c\8e\88~wqm¢\9c\8e\85~wqj¢\9c\8e\88~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85{wqm¢\9c\8e\85~wqj¢\9c\8e\88{wqm¢\98\8e\85{wqj¢\9c\8b\85{wqj¢\98\8b\85~wqj\9f\9c\8e\85{wmj¢\98\8e\85~wqj¢\9c\8b\85~wqm¢\98\8b\85{wqj¢\98\8e\85{wqj\85~tjg]ZPMF<62/(%\1f\18\11\ e\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\092,("\e\18\11PF?96/,(]SPF?962g]SPIC?6jdZSMF?9jd]SPIC<mg]VPIF?mj]VPIF?qj`ZSPFCtm`]SPIFwmg]ZSPF{qj`]VPM~tjd]ZSP\81wmj`ZVP\85{qj`]VP\85{tjg]ZS\85~wmg`]V\88\81wmj`]V\8b\85wqjd]Z\8b\85{qjg`Z\8e\85{tjj`Z\92\85~tmgd]\8e\88~tqjd]\92\88~wqjg`\92\88~wqjg`\95\88\81wqjg`\92\88\81{tjj`\95\8b\85{tmjd\98\8b\85{tmj`\98\8e\85{tmjd\98\8e\85{tmjd\9c\8e\85~wqjd\98\92\85~wqjd\9c\8e\85\81wqjg\9c\8e\85\81wqjg\9c\92\88~wqjg\9c\92\88\81wqmg\9f\92\88~wqmg\9f\92\88\81wqmg\9f\92\88\81{qmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\88\85{tmj\9f\95\88\81{tmj\9f\92\88\85{tqj\9f\92\88\85{tmj\9f\95\88\85{tqj\9f\95\88\85{tqj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8e\85{tqj\9f\95\8e\85{tqj\9f\98\8b\85{wmj\9f\98\8b\85{tqj\9f\98\8b\85~wqj\9f\95\8b\85{wmjÝÓƼ¶¬¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîçÝÓûñçÝÓÊüëáÓÊÀ¹¯¬ÝÓƼ¶¯¥\9fÓÍù¯©\9f\98ÓÊÀ¶¬¥\9f\95Óʼ¶¬¥\9f\95Ðʼ¶¬¥\9c\95ÓƼ³©¢\9c\95Ðƹ¯©¢\9c\92Íù¯¥\9f\95\8eÆÀ¶¬¢\9f\92\8eƼ³©\9f\9c\8e\88ù¬¥\9c\95\8e\85À¹¬¢\95\92\8b\85¼¶©\9f\98\8e\88\85¹³¥\9f\92\92\85\81¹¯¥\9f\92\8e\85~¹¯¢\9c\92\88\85~¶¬¢\98\8e\88\81{¶¬\9f\95\8e\88~{³¬\9f\95\8b\85~w¯©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\81{t¬¢\9c\92\85\81{t¬¢\95\8e\85\85wt¬¢\98\8e\85~wt©¢\95\8e\85~wq©\9f\92\8b\85~wq©\9f\92\8b\85~wq©\9f\92\8b\81~tm¥\9f\92\8b\85~tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88~{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¢\9c\8e\88\81{qm¥\9f\92\88\81{qm¥\9c\92\88~{qm¢\9f\8e\85~{qm¥\9f\8e\88~wtm¢\9c\8e\88~{qj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¥\9c\8e\85~wmj¢\98\8e\85{wqj¢\9c\8e\88~wqj¢\9c\8e\88~{qj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wmj¢\95\8e\85{wqj¢\98\8e\85{wqj¢\98\8e\85~wmj\85~qjd]ZSMC<6//,%\1f\e\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\062/%"\1f\18\11PI?96/,(]SPF?<62g]VPIC<9j`ZPMF?<jg]SPIC?md]VPIC?mg]VPMF?qj`ZSPFCwmd]VPIFwmj]ZSPI{tjd]VPM\81tmg]ZSP\81wmj`]SP\85{qjd]VP\85{tjg]ZS\88~tmg`]V\88\81wmgd]Z\8b\81{qjg]Z\8b\85{qjd`Z\8e\85{tmg`]\8e\85~tmj`]\8e\88~tmj`]\92\88~wqjd]\92\88\81wqjg]\95\88\81wqjg`\95\8b\81{qjg`\95\8e\85{tmj`\95\8b\85{tmg`\95\8e\85{tmjd\98\8e\85{tmjd\98\8e\85~tmjd\98\8e\85~wqjd\9c\8e\85~wqjd\9c\92\88~wqjg\9c\92\85\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqmg\9c\92\88\81wtjj\9f\92\88\85wtmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\88\85{wmj\9f\92\8b\81{tqj\9f\95\8b\85{wmj\9f\95\8b\81{tqj\9f\95\8b\85{tqj\9f\95\8b\85~wmj\9f\95\8b\85{tmj\9f\95\8b\85~tqj\9f\95\8b\85{wqj\9f\95\8b\85~wqj\9f\95\8e\85~wqj\9f\95\8e\85~wqjÚÐƼ³¬¥¢ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîçÝÓþñçÝÓÊƼîÝÓÊù³¬ÝÓÊÀ¶¯©\9f×Íù³©\9f\9cÓÊÀ¶¬¥\9f\98×ʼ¶¬¢\9f\95Óʼ¶¬¢\9f\95ÓƼ³©¢\9f\95Ðƹ³©\9f\9c\92Íù¯¥\9f\95\92ÊÀ¶¬¢\9c\95\8eƼ³©\9f\98\92\88ù¬¥\9f\92\8e\85À¶¬¢\98\92\8b\85¼³¬\9f\95\8e\88\85¹¯¥\9f\95\8b\85\81¹¯¥\9c\92\8b\85~¹¬¢\9c\92\88\85~¶¬¢\98\8e\88\81{¶¬\9f\95\8e\85\81{³©\9f\95\8e\85~w³¥\9f\95\8b\85~w³¢\9f\92\88\85~w¯¥\9c\92\88\85{t¬¢\98\8e\88\81{t¯¢\98\8e\85\81{t¬¢\98\8e\85\81{q¬¢\98\8e\85~{q¬\9f\95\8b\85~wq©\9f\92\8b\85~wq©\9f\92\8b\81~tq©\9f\92\88\85~tm¥\9f\92\8b\81{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tm¥\9c\8e\88\81{tm¥\98\92\85\81{tm¥\9c\92\85\81wtm¢\98\8e\85~wqm¢\9c\8e\85~wqj¢\98\92\88~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85{wqj¢\9c\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85{wqj¢\98\8e\85~wqj¢\95\8e\85{wqj¢\98\8e\85{wqj¢\98\8e\85~tqj¢\95\8b\85~wqj\9f\98\8e\85~wmj¢\98\8e\85~tqj¢\95\8b\85{wmj\85{qjd]ZPMC<6//(%\1f\18\11\v\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\092/%"\1f\18\15PF?96/,(]SPF?962g]VPIC?9j`ZPPFC<md]SPIC?md]SPIC?mg]VPPF?qj`ZSPICtjdZVPMFwqj]ZSPI{qj`ZSPM~tmg]ZSP\81wmj`]VP\85{qj`]VP\85{tjg]ZS\85~tmg`ZV\88~tmjd]V\88\81wqjd]V\8b\85{tjg]Z\8b\85{tjg`Z\8e\85{tmg`]\8e\88~wmjd]\92\85\81wmj`]\92\88\81wmjg]\92\88\81wqjd`\95\8b\85{qmg`\92\8b\85{qmj`\95\8b\85{tmj`\95\8b\85{tmj`\95\8e\85{tmj`\98\8e\85{tmjd\98\8e\85~wmjd\9c\8e\85~wqjd\9c\92\85~wqjg\98\92\85~wqjg\9c\92\88~wqjg\9c\92\88\81wtmg\9c\92\8b\81wtmj\9c\92\88\81wtmj\9f\92\88\81{tjj\9f\92\88\81wtmj\9f\92\88\81wtmj\9f\95\88\81{tmg\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\95\8b\85{tmg\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{wqj\9f\95\8b\85~wqj\9f\95\88\85{tmj\9f\95\8b\85~wqj\9f\95\8b\85~wqj\9f\95\8e\85{wqj\9f\95\8b\85{tqj\9f\95\8b\85~tmjÚÐƼ³¬¢¬ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿûîçÝ×þôçÝÓÍùëáÓÊÀ¹³©áÓƼ¶¯©\9f×Íù¯©\9f\9cÓʼ¶¬¥\9f\98ÓÊÀ¶¬¢\9f\95Óʼ³¬¥\9f\95Óƹ³©¢\9c\92Óƹ¯©\9f\9c\92Íù¬¥\9f\95\8eÊÀ¶¬¢\9c\92\8bƹ³¥\9f\98\92\88ù¬¢\9f\92\8e\85À¶¬¢\9c\92\8b\85¼³©\9f\95\8e\88\81¹³¥\9f\92\8e\85~¹¯¢\9c\92\8b\85{¹¬¢\98\92\88\85~¹¬\9f\95\8e\88\81{¶©\9f\95\8e\85\81{³©\9f\95\8b\85\81{³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85{t¯¢\98\92\88\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81{t¬\9f\95\8b\85\81wq©\9f\95\8b\85~wq©\9f\92\8b\85~wm©\9f\92\8b\85~tm©\9f\92\8b\85{tq©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9c\92\88\81wtm¥\9c\92\85\81wtj¥\9c\92\85~wtj¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\98\8e\85~wmj¢\98\8b\85~wqj¢\95\8e\85~wqj¢\98\8b\85~wqj¢\98\8b\85~wmj¢\98\8e\85~wqj¢\95\8b\85{wqj\9f\98\8e\85~tmj\85{qjd`ZSPC<62/,""\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\e\11\ e\v\ 4\ 4\092/("\1f\e\18PF?96//(]VPFC<62g]VPIC?9jdZPMIC<jdZSPIC?md]VPMF?mg]VPMF?qj]ZSPFCtj`ZSPMFwmg]VPPI{tj`ZVPM~tjg]ZPP\81wmj`ZVP\85{qj`]ZP\85{qjd]ZS\88~tmg`]S\88\81tmg`]V\88\85wmj`]Z\8b\85{qjd`Z\8b\85{qjg`Z\8e\85~tmj`]\8e\85~tmj`]\8e\85~wmjd]\92\88\81tmjg`\95\88\81wqjg]\95\8b\81wqmg`\95\8b\85{tmg`\92\8b\85{tjj`\95\8e\85{tmjd\98\8e\85{tmj`\9c\8e\85~wqjg\98\8e\85~wqjd\9c\8e\85~wqjg\9c\92\88~wqjg\9c\92\85\81wqmg\9c\92\88~wqmg\9f\92\88~wtjg\9c\92\88\81{qmj\9c\92\88\81wtmj\9f\92\88\85{tmj\9f\92\8b\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\88\85{wqj\9f\95\8b\81~tqj\9f\95\8b\85{wmj\9f\95\8b\81{tqj\9f\95\8b\85~tmj\9f\95\8b\85~tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\98\8b\85{wqj\9f\95\8b\85{wmjÚÓƼ³¯¢¼ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîçÝ×þñëÝÓÊùîáÓÊù³¬ÝÓƼ¹¯©\9f×Íù¯©¢\9cÓÊÀ¹¬¥\9f\95Óʼ¶¬¥\9f\98ÓƼ¶¬¢\9f\95ÐƼ³©¢\9c\95Óƹ¯©\9f\98\92Íù¯¥\9f\95\8eÊÀ³¬¢\9f\92\8eƼ¯©\9f\98\92\88ù¬¢\9f\95\8e\85À¶¬\9f\9c\92\8b\85¼³©\9f\95\8e\88\81¹¯©\9f\92\8e\88~¹¯¥\9f\92\8e\85~¶¬¢\98\8e\88\85~¶©\9f\95\8e\88\81{¶©\9f\95\8e\85\81{³©\9f\95\8b\85\81w¯¥\9f\95\8b\85~w¯¥\9f\92\88\85~t¯¢\9c\92\88\81~t¬¥\98\8e\88\81{t¬¢\9c\8e\88\81wt¬\9f\98\8e\85~{t¬¢\98\8e\85~wq¬\9f\95\8b\85~wq¬\9f\95\8b\85~wm©\9f\92\8b\85{tm©\9f\92\88\85~wm¥\9f\92\88\85{tm¥\9f\92\88\85{tm¥\9f\92\88\81{tj¥\9f\92\85\81wtj¥\9c\92\88\81wtj¢\9c\92\88\81{tj¢\9c\8e\88\81wqj¥\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8b\85~wqj¢\98\8e\85~tqj¢\9c\8e\85~wqj¢\98\8b\85~wqj¢\95\8b\85{wmj\9f\98\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85{wqj\9f\95\8b\85\81tqj¢\95\8b\85{tqj\9f\95\8b\85{wqj\85{tjd]ZSPF<62/,("\e\15\ e\a\ 4\ 4\0\ 1\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\062,%"\e\18\15PF?62/,(]SPF?<62g]SPFC<9j`ZPMF?9jd]SPIC<md]SPFC<mg]SPIC?qj]ZSIFCtjd]SPICwmg]ZSMF{qj`ZSPI~tjd]VSM\81wmg`ZSP\81wqg`]VP\85{tj`]ZS\85~tjg`]S\88~tmj`]V\88\81wmjd]Z\8b\81wqjd]Z\8b\85{qjg`Z\8e\85{tmj`]\8e\85~tmjd]\92\85~tmjd]\92\88~wmjg]\92\88\81wqjg`\92\8b\81{qjg`\95\88\81{qmj`\92\88\85{tmj`\98\8b\85{tmjd\98\8e\85~tmjd\98\8e\85~tqjd\95\8e\85~wmjd\98\8e\85~wqjg\9c\92\85~wqjg\9c\8e\88~wqjg\9c\8e\88~wqmg\9c\92\88\81wqmg\9c\92\88\81wqjg\9f\92\88\81wtmj\9f\8e\88\81wtjg\9f\92\88~wtmj\9c\92\88\81wqmj\9f\92\88\81wqmj\9f\92\88\81{qmg\9f\95\88\85{tmj\9f\92\88\81{tmj\9f\92\8b\81{tmj\9f\92\8b\85{tmj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\95\8b\81{tmj\9f\92\88\81{tmj\9f\92\8b\81{tqj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\92\8b\85{wmj\9f\95\8b\85{tmtÚÐƼ³¬¥ÐÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþøîçÝÓûñçÝÓÊùçáÓÆÀ¹³©ÝÓƼ³¬¢\9f×ÍÀ¹¬©\9f\9cÓƼ¶¬¥\9f\95ÓƼ³¬¥\9f\98Óƹ³¬¢\9f\95Ðƹ³©¢\9f\92Íù¯¥\9f\98\92ÍÀ¹¬¢\9f\98\8eƼ³©¢\98\92\8bƹ¯¥\9f\98\8e\88À¹¬¢\9f\95\8e\85À¶©¢\98\92\88\85¹³¥\9f\95\92\88\81¹³¥\9f\92\8e\85\81¹¯¢\9f\92\8b\85~¶¯\9f\98\8e\8b\85~¶¬\9f\98\8e\88\81{¶©\9f\95\8e\85\81w³¥\9f\92\8b\85~w³¥\9f\92\8b\85~w¯¥\9f\92\88\85~t¯¥\9c\8e\88\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81{t\8e\85{tjg`]SPC<66/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\0(\1f\18\11\ e\v\ 4\ 4<6/(""\1f\18PI?96//,]VPF?<62d]VPFC<6j`ZPMF?<jdZSPFC<jg]SPIC?mg]VPMF?qj`ZSPFCtjd]VPIFwmj]ZSPF{qjd]VPM~tjd]ZSP~wqg`]SP\85{qjd]ZP\85~tjg`ZS\85~tjg`ZV\88\81wmjd]Z\88\81wqjd`Z\88\81{qjg`Z\8b\85{tjg`]\8e\85{tmj`]\8e\85~tmj`]\92\85~wmjd]\92\88\81wqjd]\92\88\81wqjgqÓƼ³¬¥\9cÓÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçá×Íøîá×ÐƼ¶ä×Íù¶¬¥×ÍÀ¹¯©\9f\9cÐƹ³©¢\9c\95Ðù¯¥¢\98\92Íƹ¯¥\9f\95\92Ðù¯¥\9f\95\92Íù¯¥\9f\95\92Êö¬¢\9f\92\8eƼ³©¢\9c\92\8bƹ³¥\9f\98\92\88ù¬¢\9f\92\8b\85À¶¬\9f\9c\92\88\85¼³¥\9f\95\8e\88\81¹¯¥\9f\95\8e\85~¹¯¢\9c\92\8b\85~¶¬¢\9c\92\88\85~³¬\9f\95\8b\88\81{³©\9f\95\8b\85\81{³©\9f\92\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{t¬¥\98\92\88\81{t¬¢\98\8e\88\81wt¬¢\98\8e\85\81{t¬\9f\95\8b\85~wq¬\9f\95\8b\85~wq©\9f\95\8b\85~tq¥\9f\95\8b\85~wm¥\9f\92\8b\81{tm¥\9f\92\88\85{tm¥\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\8e\88\81{tm¥\9f\8e\88~{tm¥\9f\8e\88~{qm¢\9f\8e\88\81wqj¢\9c\8e\85~{qm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8b\85~wmj¢\9c\8b\85~wqj¢\98\8e\85~wqj¢\98\8b\85{tqj¢\98\8b\85~wqj\9f\9c\8b\85{wqj\9f\98\8b\85{wmj\9f\95\8b\85{tmj\9f\98\8b\85{wqj\9f\98\8b\85{wmj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\9f\98\8b\85{tqj\9f\98\8b\85{wmj\9f\98\8b\85{wmj\85{qjd`ZSMC<62/,("\e\15\ e\ e\a\ 4\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\1f\15\ e\v\a\ 4\ 196/("\1f\e\18PI?96/,,]VPFC<66j]VPIC?<jdZSPIC<jd]SPIC?md]VPIC?mg]VPMF?qj`ZSPFCtmd]VPMCwmg]ZSMI{qjdZVPM~tmg]ZSP\81wmg`ZSP\85wqjd]VS\85{tjg`ZS\88~tmg`ZV\88~wmj`]V\88\81wmjd]Z\8b\85{qjd`Z\8e\85{tmj`]\8e\85~tmg`]\8e\85{tmjd]\8e\88~wmjd]\92\88\81wmjd`\92\88\85wqjg\81ÐƼ³¬¢\9fáÿÿÿÿÿÿþþÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîçÿÿûîçÝÓÍøëÝ×Íü¶ä×Êƹ³¬¢×ÍÀ¹¬©¢\9cÓƹ³©¢\9f\95Íƹ¯©\9f\98\92Íù¯©\9f\98\92Êù¯¥\9f\9c\92Êù¯¢\9f\95\92Êö¬¢\9f\95\8eƼ³©¢\9c\92\8eƹ¯¥\9f\9c\8e\88ù¬¢\9c\95\8e\85À¶©\9f\98\92\8b\85¹³¥\9f\95\8e\88\85¹¯¥\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¶¬¢\98\8e\88\85~³©\9f\98\8e\88\81{¶©\9f\95\8e\85\81{³©\9f\92\8b\85~w¯¥\9c\92\8b\85~w¯¥\9c\92\88\85{t¯¢\98\8e\88\85{t¬¢\9c\8e\85\81{t¬¢\98\8e\85~wq¬¢\95\8e\85~wq\8e\85{tjg`ZPMC962/,"\1f\15\11\v\a\ 4\ 4\ 1\0\0\0\0\0\0\0\ e\a\ 4\0\0\0\0\0%\1f\18\11\ e\a\ 4\ 4<6/,%\1f\1f\ePI?96/,(]SMF?962g]SMFC<9g`VPIF?9j`ZPMFC<jd]SPIC?mg]SPIC?qj`ZSMFCqjdZSPMFwmg]ZSPIwqj`ZSPM~tjg]ZSP\81wmj`ZSP\81{qj`]ZP\85{qjg`ZS\85{tmg`ZV\85\81wmj`]Z\88\81wqjd]Z\8b\85{qjg`]\8b\85{tjg`]\8e\85{tjj`]\8e\85~tmjd]\8e\85\81wqjd`\92\88~wmjd`\92\88\81wqjg]\95\88\81{qjg`\92\88\81wtjgd\95\88\85{qmjd\95\8b\85{tmjd\95\8b\85{tmjd\95\8e\85{tmjd\95\8e\85~tqjg\98\8e\85~tqjg\98\8e\85~wmjg\9c\8e\85~wqjg\9c\92\85~wqjj\9c\92\88~wqjg\9c\92\85~wqjg\9c\8e\88~wtmj\9c\92\88~wqmj\9f\92\88~wtmj\9f\92\88\81wqmj\9c\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\8b\81{tmj\9f\95\88\85{tmj\9f\95\88\81{tmj\9f\92\88\85{tmj\9f\95\88\81{tqj\9f\92\88\85{tmj\9f\95\8b\85{wmj\9f\92\8b\85{tmj\9f\95\8b\85{tqj\9f\95\88\85{tm\95ÚÐƹ¯¬¢ôÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîçÝÓþñçÝÓÍùëáÓÆÀ¹¯©ÝÓƼ¶¯¥\9fÓÊÀ¹¯©\9f\9cÓʼ¶¬¥\9f\95Óʼ³¬¢\9f\95Óƹ³¬¢\9c\95ÐƼ³©¢\9c\92Ðƹ¯¥\9f\9c\92ÊÀ¹¬¥\9f\95\8eƼ³¬\9f\9c\92\8bƹ¯¥\9f\9c\8e\88À¹¬¥\9c\95\8b\85¼¶©\9f\98\92\88\85¼³¥\9f\95\8e\88\81¹¯¥\9f\92\8b\85~¹¬¢\9c\92\8b\85~¶¬\9f\98\8e\88\81{¶¬\9f\98\8e\88\81{³©\9f\95\8b\88~w¯©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¢\9c\92\88\85~t¬¢\9c\92\88\81{t¬¢\95\8e\88\81{t¬¢\95\8e\85\81wt¬\9f\95\8e\85~wq©¢\95\8b\85~wq©\9f\92\8b\85~wq©\9f\95\8b\85~wm¥\9f\92\88\81~tq¥\9c\92\88\81~tq¢\9f\92\88\81{tm¢\9c\8e\88~{tq¢\9f\92\88\81wtm¥\9c\8e\85\81{tm¢\9c\8e\85~{tm¥\9c\8e\85~wqm¥\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wmj¢\95\8e\85~wqj\9f\98\8b\85~wmj\9f\98\8b\85{wmj\9f\98\8b\85{wmj\9f\98\8b\85~wmj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\98\8b\85{wmj\9f\95\88\85{wmj\9f\98\8b\85{tqj\9f\98\88\85{wmj\9f\98\88\85{wmj\9f\98\8b\85{tmj\85{qj`]VPIC96/,(%\1f\15\11\v\ 4\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\15\11\v\a\ 4\ 1\a62,("\1f\18\1fPC?62/,,]SMF?<66g]VPIC<<j`ZSMF??jd]SPICCjd]VPIFCmg]VPIFCqj`ZSMIFtjd]SPMIwmg]ZSPM{qj`]VPP~tjd]ZSS~wmg`ZSS\85{qjdZVV\85{qjd]ZV\88{tjg`ZZ\88\81wmj`]Z\88\81wqjd]]\8b\85wqjd]]\8b\85{qjg`]\8e\85{qjg``\8e\85{tmj``\8e\88~wmjdd\92\85~tqjdd\92\88~wmjgd\95\88\81wqjgd\95\88\81wqjgg\92\8b\85{tmgg\92\8b\85{qmjj\95\8b\85{tmjj\95\8b\85{tmjj\98\8e\85~tqjj\98\8e\85~wqjj\98\92\85~wqjj\9c\8e\85~wqjj\9c\8e\85~wqjj\9c\92\85~wqjj\9c\92\85~wqjj\9c\92\88\81wqmj\9c\92\88~wtmm\9c\92\88\81wtmm\9c\92\88\81{tmm\9c\92\88\81wtmm\9f\92\88\81{tmm\9f\92\88\81{tmm\9f\92\88\81{tmm\9f\92\88\81{tmm\9f\95\88\81{tmm\9f\92\88\85{tmm\9f\92\88\81{tqq\9f\92\88\81{tmq\9f\92\88\81{tmq\9f\92\8b\85{tmq\9f\92\8b\85{tmq\9f\95\88\85{wmq\9f\92\8b\85{tmq\9f\95\8b\85{tm¬ÚÐƹ³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿþøîäÝÓûñçÝÓÊùëáÓÆÀ¹¯¬ÝÓƼ¶¬¥\9fÓÊÀ¹¯¥\9f\9cÓƼ¶¬¥\9f\98ÓƼ³¬¢\9f\95ÓƼ³¬¢\9c\98ÓƼ³©¢\9c\92Íù¯©¢\98\92Íù¯¥\9f\95\8eʼ³©\9f\9c\92\8eƹ³¥\9f\98\8e\88ù¬¢\9f\95\8b\85¼¶©\9f\98\92\8b\85¹³¥\9f\95\8e\88\85¹¯¥\9f\92\8b\85~¹¬¢\9c\92\8b\85~¹¬¢\98\92\88\85~³©\9f\95\8e\88\81{¶©\9f\95\8e\85\81{³©\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9c\92\88\85{w¬¢\98\92\88\85{w¬\9f\98\8e\88\81{t¬\9f\95\8e\85~wt\8e\85~tmj`]SMF?66/,%\1f\18\11\ e\v\a\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0(\1f\18\15\ e\v\ 4\18?6/,%"\1f(PIC962,6]SPF?<6<g]SPF?<?j`ZPIF?CjdZSMICCjd]SPICFmg]SPIFFqj`VPPFItj`]SPIMwmg]VPMP{mj`ZVPS{tjd]ZSV\81wmj]ZSZ\81wqj`]V]\85{qjd]Z]\85~tmg`Z]\88~tmg`Z`\88\81wmjd]d\8b\81wqjg`d\8b\85{qmg`g\8e\85{tjg`j\8e\85{tmjdg\8e\85~tmjgj\92\88~wmjdj\92\88\81wqjg¬ÓƼ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîäÿÿøîçÝÓÍôëáÓÍü¶á×Êù³¬¢ÓÊÀ¹¯©\9f\9cÓƹ¯©¢\9c\95Íù¯©\9f\98\95Íù¯¥\9f\98\92Íù¯¥\9f\98\92Íù¬¥\9f\95\92ÊÀ¹¬¥\9f\98\8eƼ³©¢\9c\95\8eƹ¯©\9f\95\92\8bù¬¥\9f\95\8e\88¼¶©\9f\9c\92\8b\85¼³©\9f\98\8e\88\85¹³¥\9f\95\8b\85\81¹¬¢\9c\92\8b\85\81¶¬¢\95\8e\88\81~¶©\9f\98\8e\88\81{³©\9f\95\8e\85~{³©\9f\92\8b\85~{¯¥\9c\95\8b\85~w¯¥\9c\92\88\85{w¯¥\9c\8e\88\85{w¬¢\98\8e\85\81{t¬\9f\98\8e\85\81wt¬\9f\92\8e\85~wt©\9f\95\8b\85~wt©\9f\92\8b\85~wq©\9f\95\8b\85{tq©\9f\92\88\85{wq¥\9f\92\88\81{tq¥\9f\92\88\81{tq¥\9f\92\88\81{tq¥\9f\8e\88\81{tq¥\9c\8e\88\81{tm¥\9f\8e\85\81{qm¢\98\8e\88~wtm¢\98\8e\85~wtm¢\98\8e\85~{qm¢\98\8e\85~wtm¢\9c\8e\85~wqm¢\95\8e\85~wqm¢\95\8e\85~wqm\9f\98\8e\85~wqj\9f\95\8e\85~tmj\9f\95\8e\85~wmm¢\95\8e\85~wqj¢\95\8b\85{wqj¢\98\8b\85~tqj¢\98\8b\85{wqj¢\95\8e\85{tmj¢\95\8b\85~tmj\9f\95\8e\85{wqj¢\95\88\85{wmj\9f\98\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\85{qj`]VPMC96/,,%\1f\18\ e\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\e\15\11\a\ 4\ 4\0\e6/,"\1f\e\18/PC<62/,<]SIC?96Cg]SMFC<Fj`VPIF?Fj`ZSMF?Ij`]SPICMmd]SPIFPqg]VSMFPtj`ZSPIStmd]VPMVwqj`ZVPZ{tjg]VS]~tmg`ZS`\81wmj`]Zd\85{qjd]Zd\85{tjg`Zg\85~tmg`]j\88\81wmjd]j\8b\81wqjg`j\8b\85wqjg`m\8e\85{qjg`m\8e\85{tmj`m\8e\85~tmjdq\8e\85~wmjdq\92\85~wmjdt\92\88\81wqjgt\92\88\81{tmgt\92\88\85{tmgt\95\88\85{tmjw\98\8e\85{tmjw\98\8b\85{tqjw\98\8e\85{tqjw\95\8e\85{wqjw\95\8e\85~wqj{\9c\8e\85~tqj{\9c\8e\85~wqj{\9c\8e\85~wqj{\9c\92\85~wqj{\9c\92\85\81wqj{\9c\8e\85~wtj~\9c\92\88\81wqm{\9f\92\88~wtm~\9f\92\88\81{tm~\9c\92\88\81{tm~\9f\92\88\81{tm~\9f\92\88\81{tm~\9f\92\88\81~tm~\9f\92\88\81{wm~\9f\92\88\85{tm\81\9f\92\88\81{tm\81\9f\95\88\85{tm\81\9f\95\8b\85{tq\81\9f\92\8b\81{tm~\9f\92\8b\85{tm\81\9f\92\8b\85{tq\81\9f\95\8b\85{tq\81\9f\95\8b\85{tmÆÚÐù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôîÿÿþøîäÝ×ûñçÝÓÊüëÝÓÆÀ¹¯¯ÝÓƼ¶¬¥¥×ÊÀ¶¬¥\9f\9fÓƼ³¬¥\9f\9fÓƼ³¬¢\9f\9fÓƼ³¬¢\9f\9fÐƹ¯©¢\9c\9fÐù¯¥¢\9c\98ÊÀ¶¬¥\9f\98\95ʼ³¬¢\9c\92\92ƹ¯¥\9f\98\92\8eù¬¢\9f\92\8b\8e¼¶¬¢\9c\92\8b\8b¼³©\9f\95\8e\88\88¹¯¥\9f\92\8b\85\88¹¬¢\9c\92\8b\85\85¶¬¢\98\8e\88\85\85¶¬\9f\95\8e\88\81\81³©\9f\95\8b\85~\81³©\9f\95\8b\85~~¯¥\9c\92\8b\85~~¯¥\9c\92\88\85~{¬¢\9c\92\88\81{{¬¢\95\8e\85\81{{©¢\98\8e\85\81{w¬\9f\95\8e\85~ww¬\9f\95\8b\85\81ww©\9f\92\8b\85~ww©\9f\92\8b\85~ww¥\9f\95\8b\81{wt¥\9f\92\88\81{tt¥\9f\92\88\81{tt¥\9f\8e\88\81{tt¢\9c\92\88\81{tt¢\9c\8e\88~wtt¢\9c\8e\88\81wtq¢\9c\8e\88~wqt¢\9c\8e\85~wqt¢\9c\8e\85~wqq¢\9c\8e\85~wqq¢\95\8e\85~wqq¢\9c\8e\85~wqq¢\98\8e\85~wmq¢\98\8b\85{wqq\9f\98\8b\85{wqq\9f\95\8b\85{wmq¢\98\8b\85{tmq¢\95\8b\85{tqq\9f\95\8b\85~tqq\9f\95\8b\85{tmm\9f\95\88\85{wmq\9f\95\8b\81{tmq¢\95\8b\85{wqq\9f\95\8b\85{tmm\9f\95\8b\85{tmm\9f\92\88\85{tmm\9f\95\8b\81{tmj\85{qj`]VPIC96//("\1f\18\11\v\ 4\ 1\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\v\1f\18\11\v\ 4\ 4\0,62,"\1f\1f\e<PF<62/,IZSMF?96Pg]SMFC?Sj]ZPMF?Sj`ZPPFCSmdZSPFCVmg]VPIFZmg]VPMI]qj`ZSPI`tmd]VPPdwmg`ZSPj{qjd]VPj~tjg]ZSm\81wmj`]Vm\81{qjd]Zt\85{qjd]Zt\85~tmg`Zw\85\81tmg`]w\88\81wmjd]w\8b\81wqjg]{\8b\85{qjg]{\8e\85{tmj`~\8e\85~tmj`~\92\85~tmjd~\92\85~wmjd\81\92\88\81wqjd\81\95\88\81wqmg\85\92\8b\81{qmg\81\95\8b\85{qmj\85\95\8b\85{tmg\85\95\8b\85{tmj\85\95\8e\85~tmj\85\95\8e\85{tqj\88\95\8e\85{tqj\88\98\8e\85~wmj\88\98\8e\85~wqj\88\9c\8e\85~wqj\88\9c\92\85~wqj\88\9c\92\85~wqj\88\9c\92\85~wqj\88\98\8e\85\81wqm\88\9c\92\85\81{qm\88\9c\92\88\81wqj\88\9f\92\88\81{tm\88\9c\92\88\85{tm\8b\9c\92\88\81{tm\88\9f\92\88\81wtm\8b\9c\92\88\81{tm\8b\9f\92\88\81{tm\8b\9f\92\88\81{tm\8e\9f\92\88\81{tm\8e\9f\92\88\81{tm\8e\9f\92\88\81{tm\8e\9f\92\8b\81{tm\8e\9f\92\88\81{tm\92\9f\92\88\85{tm\8e\9f\92\88\85{tmÓÚÐƹ³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôôÿÿþøîäÚ×ûîäÚÓÆÃÃçÝÓƼ¹¯¶ÚÐƼ³¬¥¯ÓÊÀ¶¬¥\9f¬ÓƼ³¬¢\9c¬ÓƼ¯©¢\9c¬Óƹ³©¢\9c©Ðƹ¯©\9f\98©Íù¯¥\9f\95¥ÊÀ¶¬¢\9f\98\9fƼ³©\9f\9c\92\9fù¯¥\9f\95\8e\98À¶©¢\9c\92\8b\98¼¶©\9f\98\92\8b\95¹¯¥\9f\92\8e\88\92¹¯¥\9c\95\8b\85\8e¶¬¢\9c\92\8b\85\8e¶¬¢\95\8e\88\81\8b³©\9f\95\8b\85\81\8b¯©\9f\95\8b\85~\88¯¥\9c\92\8b\85~\88¯¢\98\92\88\85~\85¬¢\9c\92\88\81{\85¬¢\9c\8e\88\81{\85©\9f\95\8e\85\81{\85©\9f\95\8b\85~w\85¬\9f\95\8e\85~w\81©\9f\92\8b\85~w\81©\9f\92\8b\85{w\81¥\9f\92\8b\81{t\81¥\9f\92\88\81{w~¥\9c\92\88\81{t\81¥\9f\92\88\81{q~¥\9c\92\85\81{q~¥\9c\8e\85~{t~¥\9c\8e\85~wt~¢\9c\8e\85~wt~¢\98\92\85~wq{¢\95\8e\85~wq{¢\98\8e\85~wq{¢\95\8e\85~tq{¢\95\8e\85~wq{¢\98\8b\85~wq{¢\98\8b\85~tm{\9f\98\8b\85{wm{\9f\98\8b\85{wq{\9f\95\8b\85~tq{¢\95\8b\85{tm{\9f\92\8e\85{tq{\9f\95\8b\85{wm{¢\95\8b\85{tq{\9f\95\8e\85{tm{\9f\95\88\81{tm{\9f\92\8b\85{tq{\9f\95\88\85{tm{\9f\95\8b\85{tm{\9f\95\8b\85{wm{\9f\95\8b\81{tmj\85{qj`]VPMC962/,(\1f\e\11\ e\a\a\ 4\ 1\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\e\1f\18\11\v\a\ 4\ 4692,%"\1f\eIPF<66/,V]SMC?96]g]SMFC<`j]VPIF?`j`VPIF?`j`ZPPFCdjd]SPFCgmg]VPIFjqj`ZSPImtmd]VPMqwmg`ZSPt{qjd]VPw~tmd]ZP{\81tmj`]S~\81{mj`]V~\85{qjd`Z~\85~tmg]Z\85\85~tmg`]\85\88~wqjd]\85\8b\81wqjg]\88\8b\85wqjg`\88\8b\85{qjg`\88\8e\85{tjj`\8b\8e\85{tmjd\8b\8e\85~tmjd\8e\92\88~wqjg\8e\92\88~wqjg\92\92\88\81wqmg\8e\92\88\81{qmj\92\92\8b\81{qmj\92\95\8b\85{tmj\92\95\8e\85{tmj\92\95\8b\85{tmj\95\98\8e\85~wqj\95\98\8e\85~tqj\92\98\8e\85~wqj\95\9c\8e\85~wqj\95\9c\8e\85~wqj\95\98\8e\85~wqj\95\98\8e\85~wqj\95\9c\8e\85~wqm\98\9c\92\85\81wqm\98\9c\8e\85~wqj\9c\9f\92\85\81wqj\9c\9c\92\88\81wtj\9c\9c\92\88\81wqj\9c\9c\8e\85\81{tm\9c\9c\92\85\81{tm\9f\9c\92\88\81wtm\9c\9c\92\88\81{tm\9c\9c\92\88\81wtm\9f\9f\92\88\81{tm\9f\9f\92\88\85{tm\9f\9f\92\88\81{tm\9c\9f\92\88\81{tm\9f\9f\92\88\85{tm\9f\9f\92\88\81{tmáÚÍù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôûÿÿþøîäÚáûñäÚÓÊÀÊçÝÐƼ¹¬ÃÚÓü³¬¢¼Óʼ¹¬¥\9f¹ÓƼ³©¥\9f¼ÐƼ³¬¢\9f¹ÐƼ³©¢\9f¹Íù¯©¢\9c¶Íù¯¥\9f\9c³ÊÀ³¬¥\9f\95¯Æ¼¯©\9f\9c\92©Ã¹¯¥\9f\95\8e¥¼¶¬¢\9c\92\8b¢¼³©\9f\98\92\88\9f¹¯¥\9f\95\8e\88\9f¹¯¢\98\92\8b\85\9f¶¬¢\9c\92\88\85\9f¶©\9f\98\8e\85\85\9c³©\9f\95\8e\88\81\9c³©\9f\92\8b\85~\98¯¥\9f\92\8b\85~\98¯¥\9c\92\88\85{\95¯¢\9c\92\88\85{\92¬¢\95\8e\85\81{\95¬¢\95\8e\85\81w\92¬\9f\95\8b\85~w\92©\9f\95\8b\85~w\8e©\9f\92\8b\85{t\8e©\9f\92\88\81{t\8e©\9f\92\88\81{t\8e¥\9c\92\88\81{t\8b¥\9f\8e\88\81{t\8b¢\9c\8e\85~{t\8b¢\9c\8e\88~{q\8b¢\9c\8e\85~{q\88¢\9c\8e\85~wq\8b¢\98\8e\85~wq\8b¢\98\8e\85~wm\88¢\98\8b\85{wm\88¢\98\8e\85~wq\88\9f\98\8b\85{wq\88¢\9c\8b\85{wq\88\9f\95\8b\85~wq\88\9f\95\8b\85~wm\88\9f\95\88\85{tm\88\9f\95\8b\85{tm\88\9f\98\8b\85{tq\88\9f\95\8b\85{tm\85\9f\95\8b\85{tm\85\9f\95\8b\85{tq\88\9f\95\8b\81{tm\88\9f\95\88\81~tm\85\9f\95\8b\85{tm\85\9f\92\88\85{tm\85\9f\95\88\85{tm\85\9f\92\88\81{tm\85\9f\95\88\85{tm\85\9f\92\88\81{tmm\81{qg`]VPIC96/,("\1f\18\ e\v\ 4\ 4\0\0\0\0\0\0\0\0\0\ 1\ 4\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 1\0<6/("\1f\e\15SMC<62/,`ZSIC<96jdZSMFC<jj`VPIC?jj`ZPMF?mj`ZPMFCmj`]SPIFtmg]VPMFtqj]ZSPFwtmd]SPM{wmg`ZSP\81{qj`]VP\85~tjg]ZS\85\81wmj]]V\88\85{qjd]V\88\85{qjd]Z\8b\85~tmg`Z\8e\85~wmjd]\8e\88\81wqjd]\92\8b\81wqjg`\92\8b\85{tjg`\92\8e\85{tmj`\95\8e\85~tmjd\95\8e\85~wqjd\98\92\85~wmjg\98\92\88~wqjg\9c\92\88\81wqmg\9c\92\88\81wqjj\9c\95\8b\85{qmj\9c\95\8b\81{tmj\9c\95\8b\85{tmj\9f\95\8e\85{tmj\9f\98\8e\85~tmj\9f\95\8b\85~tqj\9f\98\8e\88~tqj\9f\98\8e\85~wmj\9f\9c\8e\85~wqj\9f\9c\8e\85~wqj\9f\98\8e\85~wqj\9f\9c\92\85~wqj\9f\9c\8e\85~wqj¢\9c\92\85~wtj¢\9c\8e\85~wqj¢\9c\92\85~wqm¥\9c\92\88\81wtm¥\9c\92\88\81wtj¢\9c\92\88\81wtj¢\9f\8e\88~wtj¥\9c\92\88\81wtm¢\9c\92\88~{tj¥\9f\92\88~wtm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81wqm¥\9f\92\88\81{tm¥\9c\92\88\85{tm¥\9f\92\88\85{tmá×Íù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿûñëá×ëûîäÚÐÆÀ×äÝÐƼ¹¯ÍÚÍƹ³¬¥ÆÓʼ¶¬¥\9fÆÐƹ¯©¢\9cÊÐù¯©\9f\9cÆÍù¯©¢\9cÆÍù¯©\9f\98ÆÊÀ¶¬¥\9f\95Àʼ¶¬¢\9c\95¼Æ¹¯©¢\98\92¹Ã¹¬¢\9f\92\8e¹À¶¬\9f\9c\92\8b¶¼³©\9f\98\8e\88³¹¯¥\9f\95\8e\85¯¹¬¥\9c\92\8b\85¯¹¬¢\95\92\88\85¬¶©\9f\95\8e\88\81¬³©\9f\92\8b\85\81©³¥\9f\95\8e\85~¥¯¥\9c\92\88\85~¥¬¥\98\8e\88\85{¥¯¢\98\8e\88\81{¢¬\9f\95\8e\88\81{¢¬\9f\95\8e\85\81w¢©\9f\98\8b\85~w\9f©\9f\92\8b\85~w\9f¥\9f\92\8b\85~w\9f¥\9f\92\8b\85{t\9f©\9f\92\88\81{t\9f¥\9f\92\88\81{t\9f¢\9c\92\88~{t\9c¢\9c\8e\88\81{q\9c¢\9c\8e\85\81wq\98¢\9c\8e\85~wt\9c¢\9c\8e\85\81wq\9c¢\95\8e\85~wt\9c¢\95\8b\85~tq\9c\9f\95\8b\85~wq\98\9f\98\8b\85{wm\98¢\98\8b\85~wq\98¢\95\8b\85~wm\98\9f\95\8b\85~wm\98\9f\95\8b\85{tq\98\9f\95\8b\85{tm\95\9f\95\88\85{wm\95\9f\95\88\85{tm\95\9f\95\8b\85{wm\95\9f\95\88\85{tm\98\9f\95\88\85{tm\95\9f\95\88\85{tm\9c\9f\92\88\81{tm\98\9f\95\88\81{tm\98\9f\92\88\81{tm\98\9f\92\8b\85{tm\98\9f\92\8b\81{tm\98\9f\92\88\81{tm\98\9f\92\88\81{tjw\85wmj`ZVPIC96/,("\1f\15\11\v\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0%\1f\15\ e\a\ 4\ 1\0?6/,%\1f\e\15VMC<6//,g]SIC?96mdZSMFC9qj]VPIC?tj`ZPIF?tjdZSPFCwjdZSPICwmg]VPPF~qj`ZPPI\81tjd]VPM\85wmg`ZSM\85{qjd]VP\8b~tjg]ZS\8b\81tmg`]S\8b\81{qj`]Z\92\85{qjd]Z\92\85{tjg`]\92\85~tmj`]\92\88\81tmjd]\95\88\81wmjg]\98\8b\81wqjg]\98\8b\85{tjg`\9c\8e\85{tmj`\9c\8e\85{tmj`\9f\8e\85~tmjd\9f\92\88~tqjd\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81{qmg\9f\95\88\81{qmg¢\92\8b\85{tmg¢\95\8b\85{qmj¢\95\8b\85{tmj¢\98\8e\85{tmj¢\98\8b\85~wmj¥\95\8e\85{tmj¥\95\8e\85~tmj¥\9c\8e\85~wqj¥\9c\8e\85~tqj¥\9c\8e\85{wqj¥\98\8e\85~wqj¥\98\8e\85{wqj©\9c\8e\85~wqj¥\95\92\88~wqj¥\9c\8e\85~{qj¥\98\8e\88~wqm©\9c\8e\88~wtm©\9c\92\85\81wtj©\9c\92\88\81{qm©\9c\92\88\81{tj©\9c\92\88\81{qm©\9c\92\88\81{tm©\9c\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\95\88\81{tmä×Íù¯¬¢ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûñçá×øøîá×ÐÊÀçäÚÐƼ¹¯ÝÚÐù³¬¥ÚÓƼ¶¬©\9f×Ðƹ³¬¢\9c×Ðƹ¯©¢\9c×Ðƹ¯©¢\9c×Ðù¯¥¢\9cÓÍÀ¹¬¥\9f\98ÐƼ³¬¢\9f\92Êƹ³©\9f\9c\92Êù¬¥\9f\95\8eƼ¶©¢\98\92\8bù¯©\9f\95\8e\88¼¹¯¥\9f\95\8b\85¼¹¬¢\9c\92\88\85¹¶¬¢\98\8e\88\85¹³©\9f\98\8e\88\81¹³©\9f\92\8b\85\81¶³¥\9f\92\8b\85~¶¯¥\9c\92\88\85~³¬¢\9c\8e\88\85{³¬¢\9c\8e\85\85{³¬¢\95\8e\85\81{¯¬¢\95\8b\85\81w¬©\9f\95\8b\85~w¬©\9f\92\8b\85~w¬©\9f\92\88\85~t¬¥\9f\92\8b\85~t¬¥\9c\92\88\81{t©¢\9f\92\88\81{t©¢\9f\8e\85\81{t¥¢\9c\8e\88~{t©¢\9c\8e\85~wq¥¢\9c\8e\85\81wq¥¢\98\8e\85~wt¥¢\95\8b\85~wq¢\9f\95\8b\85~wq¢\9f\98\8b\85~wq¢\9f\95\8b\88~wq¢\9f\98\8b\85~wq¢\9f\95\8b\85{wq¢\9f\95\8b\85{wm¥\9f\95\88\85{tq¥\9f\95\8b\85{wm¢\9f\95\8b\85{tq¥\9f\98\8b\85{wm¥\9f\95\88\85{tm¥\9f\95\88\81{tm¥\9f\92\88\85{tm¥\9f\92\8b\85{tm¥\9f\95\88\85{tm¢\9f\92\8b\85{tm¢\9f\92\8b\85{tm¢\9f\92\88\81{tm¢\9f\92\8b\85wtm¥\9f\95\88\81{tj¢\9f\92\88\85{tm\81\81wmj`]SPIC92/,("\1f\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0%\1f\15\ e\a\ 4\ 1\0?6/,%\1f\e\18VMC<6//,jZSIC<96qd]SMF?<tg]VPIF?tj]ZSPFCwj`ZSPICwjdZSPIC{mg]VPMF~qj`ZSPI\81tmd]VPP\85wmj`ZSP\88{qj`]VP\88{tjd]ZS\8b\81wmg`ZV\8e\81wqjd]V\92\85{qjg]Z\92\85{tjg`Z\95\85~tmg`Z\95\88\81tmjd]\9c\8b\81wqjd]\9c\88\81wqjg`\9c\8b\85wqjg`\9c\8b\85{tmj`\9f\8e\85{tmjd\9f\8e\85~tmjd\9f\92\88~wqjd\9f\92\88~wmjg\9f\92\88\81wqjg¢\92\88\81wqjj¢\92\88\81wtmg¢\95\88\81wtmj¢\95\8b\85{tmj¢\95\8b\85{tmj¢\95\8e\85{tmj¥\98\8e\85{wmj¥\95\8e\85{wmj¢\98\8b\85{tqj¥\98\8e\85~tmj¥\98\8e\85~wqj¥\98\8e\85~tqj©\9c\8e\85~wqj©\9c\8e\85~wqj¥\98\8e\85~wqj©\9c\92\85\81wqm©\9c\8e\85~wtm©\9c\92\88\81wtm©\9c\92\88~wtm¥\9c\92\88\81wtm¥\9c\92\85\81{tm¥\98\92\88~wtj©\9c\92\88\81{tj©\9c\92\88\81wtm¥\9c\92\85\81{tm©\9f\92\88\81wtj©\9f\92\88\85{tm©\9f\92\88\81{tm¬\9f\92\88\81wqmä×Íù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿûôëä×ÿûîáÚÐÆÀñçÚÐƼ¹¯çÚÐƹ³¬¢áÓƼ¶¬¥\9fáÓƼ³©¢\9cÝÐƹ³©¢\9cÝÐƹ¯©¢\9cÝÐù³¥\9f\98ÚÊÀ¹¬¥\9f\98ÓƼ³©¢\9f\92Óƹ³©\9f\98\92Ðù¬¥\9c\95\8bͼ¶©¢\98\92\8bʹ³©\9f\98\8e\88ƹ¯¥\9c\92\8b\85ƹ¬¢\9c\92\8b\85ö¬\9f\98\92\88\81À¶©\9f\95\8e\88\81À³¥\9f\92\8b\85~¼¯¥\9c\92\88\85~¹¯¢\9c\8e\88\85~¹¬¢\9c\8e\88\81{¹¬¢\98\8e\88\81{¹©\9f\95\8b\85\81w¶©\9f\92\8b\85\81w¶©\9f\92\8b\85~w¶©\9f\92\8b\85~w³©\9f\92\88\85~t³¥\9f\92\88\85{t³¥\9c\8e\88\81{t¯¢\9c\92\88~{t¯¢\9c\92\88~wt¯¢\9c\8e\88\81wt¯¢\9c\8e\85\81wq¯¢\9c\8e\85~wq¯¢\98\8e\85~wq¯¢\98\8e\85~wq¯¢\98\8e\85~wm¬¢\95\8e\85~wq¯\9f\95\8b\85{wq¬\9f\95\8b\85{wm¬\9f\95\8b\85{wm¬\9f\95\8b\85{tm¬\9f\92\8b\85{wm¬\9f\95\88\81~tm¬\9f\92\8b\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\95\88\85{tm¬\9f\92\88\85{tm¬\9f\92\88\85{tm¬\9f\92\88\85{tm¬\9f\92\88\81wtm¬\9f\92\88\85{tm¬\9f\95\88\85{tm©\9f\92\88\81{qm¬\9f\92\88\85{tm¬\9f\92\88\81{tm\88\81wmg`]VPI?96/,("\1f\15\11\v\ 4\ 4\ 1\ 1\0\0\0\0\0\0\0\ e\ 4\0\0\0\0\0\0(\1f\15\ e\v\ 4\ 4\ 1?6/,%"\1f\18SMC<62/,gZSMC?96md]SMF?<qg]VPICCtj`ZPMI?wj`ZSPI?wj`]SPIC{mg]VPMC{qj`ZPPF\81tjd]SPM\85tmg]VSP\85wqj`ZSP\88{tjd]VS\8b~wmg`ZS\8e\81wmj`]V\8e\85wqj`]V\92\85{qjd]Z\92\85{tjg`Z\95\88\81tmj`]\95\88\81wmjd]\98\8b\81wmjg]\98\8b\85{qmg]\9c\8b\85{tjg`\9c\8e\85{tmj`\9c\8e\85~tmjd\9f\8e\85~tmjd\9f\92\88~wmjd\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqmg¢\92\8b\81{qjj¢\92\8b\81{tmj¢\95\8b\85{qmj¢\95\8b\85{tmj¢\98\8b\85{wmj¢\95\8b\85~tmj¢\95\8b\85{wmj¢\95\8e\85~wqj¢\95\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¥\95\8e\88~wqj¥\98\8e\88~wtm¥\98\8e\88~wtj¥\9c\8e\88\81wtm¥\9c\92\85\81wtj¥\9c\8e\88~wtj¥\9c\92\88\81wtm¥\9c\92\88\81wtm©\9c\92\88\81wqj©\9f\92\88\81wtj©\9c\92\85\81{tm©\9c\92\88\81wqm¥\9c\92\88\81{tj¥\9f\8e\88\81{tm©\9c\92\88\81{tmäÚÍù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿûôëáÚÿûîä×ÐÊÀøçÚÐƼ¶¯ëÚÐƹ³¬¥äÓʼ¶¬¥\9fáÐƹ³©¢\9cáÐƹ¯©¢\9cÝÐƹ¯¥¢\9cÝÍù¯¥¢\98ÚÍÀ¶¬¥\9f\95×ʼ³©¢\9c\92Óƹ¯©\9f\98\92Óù¬¢\9c\95\8eͼ¶©¢\98\92\8bʹ³¥\9f\95\8e\88ƹ¯¢\9f\92\8b\85ƹ¬¢\9c\92\8b\85ö¬\9f\98\8e\88\81ö©\9f\98\8e\85~ó©\9f\92\8b\85\81¼¯¥\9c\92\8b\85~¼¯¢\9c\92\88\85{¼¬¢\9c\8e\85\81{¹¬¢\98\8e\85\81w¹¬\9f\98\8e\85\81{¹¬\9f\95\8b\85~w¹©\9f\95\8b\85~w¹©\9f\92\8b\85~w¹©\9f\92\88\85{t¶¥\9f\92\88\85{w¶¢\9c\8e\88\81{t¶¢\9c\92\88\81{t¶¥\9c\8e\88\81wq³¢\9c\8e\85~wq³¢\98\8e\85~wq³¢\9c\8e\85~wq³¢\98\8e\85~wq¯¢\95\8e\85~wq¯\9f\95\8e\85{wm³\9f\98\8b\85{tq¯\9f\95\8b\85{tm¯\9f\95\8b\85~wq¯\9f\98\8b\85~wm¯\9f\95\88\85{wm¯\9f\95\8b\85{wm¯\9f\95\8b\81{tm¯\9f\95\88\85{tm¯\9f\95\8b\81{tm¯\9f\92\88\85{tm¯\9f\95\88\85{tm¬\9f\92\88\81{tm¬\9f\95\88\81wtm¯\9f\95\88\81{tm¬\9f\95\88\81{tm¬\9f\92\88\85{tm¯\9f\92\8b\81{tm¬\9f\92\88\81{tj¬\9f\92\88\81{tm¬\9f\92\88~{tm\8e\81wqj`]VPIC96//,%\1f\18\11\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\0\11\ 4\ 1\0\0\0\0\0%\1f\18\11\v\ 4\ 4\ 1?6/,%\1f\e\15SMC<62,,dZPIC<96j`ZSIF?<qg]VPIC?tj]ZSIF?tj`ZPMF?tjd]SMICwmg]VPIF{qj`ZSPF~tj`]SPI\81wmg`ZSP\85{qjd]VP\88~tjd`ZS\8b~wmj`]V\8b\85wmjd]V\8e\85{qjg]Z\92\85~tjg`Z\92\85~tmg`]\92\85~tmjd]\95\88\81wqjd`\95\8b\85wqjg`\95\8b\85{qjg`\9c\8e\85{tmg`\9c\8e\85~tmjd\9c\8e\85~wmjd\9f\8e\85~wmjd\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\95\88\81wtmg\9f\95\8b\81{tjg\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj¢\95\8b\85{tqj¢\95\8b\85{tqj¢\95\8e\85~tqj¢\98\8b\85~wmj¢\98\8e\85{tqj¢\98\8e\85~tqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¥\9c\92\85~wqj¥\9c\8e\85\81wqj¥\9c\8e\85\81wtm¥\9c\92\88\81wqj¥\9c\92\85\81wtm¥\9c\92\85\81wqm¥\9c\92\85\81wtm¥\9c\92\88\81{tm¥\9c\92\85\81wtm¥\9c\92\88\81{tm©\9c\92\88\81wtm¥\9c\92\88\81{tj¥\9f\92\88\81wtm¥\9c\92\88\81{tm©\9c\92\88\81{tmäÓÍù³©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôëá×ÿøîáÚÐÆÀøäÚÍƼ¶¯çÚÐù³¬¢áÓƼ³¬¥\9fÝÐƹ¯©¢\9cÝÐƹ¯©\9f\9cÝÍù¯©¢\9cÚÊù¯¥¢\98×ÊÀ¶¬¢\9f\95ÓƼ³¬¢\9f\92Óù¯¥\9f\9c\92Ðö¬¢\9c\95\8eÍÀ³©\9f\9c\92\88ƹ¯¥\9f\95\8e\88ƹ¬¥\9c\92\8b\85ƶ¬¢\9c\8e\88\85ö¬\9f\98\8e\88\81ó©\9f\92\8e\85\81À³¥\9f\95\8b\85~¼¯¥\9c\92\8b\85~¼¯¥\98\92\88\85~¼¬¢\98\8e\88\85{¹¬¢\95\8e\85\81{¹©\9f\95\8b\85\81{¹©\9f\92\8b\85~w¶©\9f\92\8b\85~w¹¥\9f\92\88\85{w¶¥\9c\92\88\81{t¶¥\9c\92\88\81{t³¥\9c\8e\88\81{t³¥\9c\8e\85\81wt³¢\9c\8e\85\81wq³¢\98\8e\85~wq¯¢\98\8e\85~{q¯\9f\98\8e\85~wq¯\9f\98\8e\85~wq¯\9f\98\8b\85~wq¬\9f\98\8b\85~wm¯\9f\95\8b\85~tm¬\9f\95\8b\85{tm¬\9f\95\8b\81{tm¯\9f\95\8b\85{tm¬\9f\95\8b\85{tm¬\9f\92\88\85{tm¬\9f\92\88\85{tq¬\9f\92\8b\85{tm¯\9f\95\8b\85{tm¬\9f\92\88\85{tm¬\9f\92\88\81{tm¬\9f\92\88\85{tm¬\9f\92\88\81{tm¬\9f\95\88\81wtm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\81wtm¬\9f\92\88\81{tm¬\9f\95\88\81{tm\8e\85wmj`]VPI?96/,("\1f\15\ e\a\a\ 1\0\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/("\1f\e\15SMC96//,`ZSIC<96j`ZSMC?<mg`SPIC?qj`ZPMF?qj`ZSMF?tj`ZSMICwmd]VPMF{mg`ZSMI~tj`]SPM\81wmg]ZSP\85{qj`]VP\85{qjd]ZP\88~wmg`]V\88\81wmj`]V\88\85{qjd]Z\8e\85{qjg`Z\8e\88~tjg`]\92\88~tmjd]\92\88\81wqjg]\95\88\81wqjg`\95\8b\81{qjg`\98\8e\85{tmj`\98\8e\85~tmjd\9c\92\85~tmjd×Êù¯©¢\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿûôîÿÿþøîäÚÓþñçÚÓÊÀ¹îá×ÊÀ¹³©äÓʼ¶¬¥\9fÚÍù¯¥\9f\9c×ÊÀ¶¬¢\9f\95×ÊÀ¶¬¥\9f\92×ÊÀ¶¬¥\9f\95×Ƽ¶¬¢\9f\95×Ƽ³©\9f\9c\95Óƹ¯¥\9f\9c\8eÐù¬¥\9c\92\8eͼ³©\9f\95\92\88ƹ¯¥\9f\95\8e\88ù¯¢\9c\92\8e\85ö¬¢\9c\92\8b\85ö¬\9f\98\8e\88\81À¯©\9f\95\8e\85\81¼³¥\9c\92\8b\85~¹¯¥\9c\92\88\85~¹¯¢\9c\8e\88\85{¹¬¢\95\8e\88\81{¹©\9f\98\8e\85\81{¹©\9f\92\8b\85~w¶©\9f\92\8b\85~w¶©\9f\92\8b\85~w³©\9f\92\88\85{w\98\88\81wmjd]VPIC962/("\1f\18\11\v\a\ 4\v\ 4\0\0\0\0\0\0\18\ e\a\ 4\0\0\0\0,%\1f\18\11\ e\v\aC<6/,%"\1fVPF?96//dZSMC?96j`ZPMF?9mg]VPIC?qg]VPMF?qj`ZSPFCtj`ZSPICwmg]VPMFwqj`ZPPI{tjd]SPI~wmg]ZSP\85wqj`]VP\85~tjd]ZS\88~wmg`ZS\88\81wmj`]V\8b\85{qjd]V\8e\85{tjg`Z\8e\85~tmg`]\92\88~tqj`]\92\88\81tmjd]\95\88\81wqjg`\95\8b\85{qmg]\98\8e\85{tjg`\98\8e\85{tjj`\98\8e\85~tmj`\98\92\85~tmjd\9c\8e\88~wmjd\9c\92\88~wqjg\9f\92\88~wqjg\9f\92\8b\81wtjg\9f\92\8b\85{qmj\9f\92\88\81{tmg\9f\92\8b\85{tmj\9f\95\8e\85{tmj\9f\95\8b\85{tmj\9f\98\8b\85{tmj\9f\95\8e\85{tqj\9f\95\8b\85~wqj\9f\95\8e\85~wmj\9f\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wtj¥\9c\92\85~wqm¢\9c\8e\85~wtm¢\9c\8e\85~wtj¢\9c\92\85~{tm¥\9c\92\88~wtj¢\9c\92\85\81{qj¥\9c\92\88\81wtm¥\9f\92\88\81wtm¥\9c\92\88\81wtmä×Êù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëá×ÿøîá×ÐÆÀôäÚÐƼ¹¯ç×Íù³¬¢ÝÓƼ³¬¢\9fÝÐƹ¯©¢\9cÚÐù¯¥\9f\9cÚÍù¯¥\9f\98ÚÍù¯©\9f\98×ÊÀ¶¬¥\9f\95ÓƼ³©¢\9c\95Óù¯¥\9f\98\8eÍÀ¶¬¥\9c\92\8eʼ³©¢\98\92\88ƹ¯¥\9f\95\8e\88ù¬¢\9f\92\88\85À¶©\9f\98\92\8b\85¼³©\9f\95\8e\88\81¼³©\9f\92\8e\88\81¼¯¥\9f\92\8b\85~¼¬¢\9c\92\8b\85~¹¯¥\9c\92\88\85{¹¬¢\98\8e\85\81{¹¬\9f\98\8e\85\81{¶©\9f\95\8b\85~w¶©\9f\95\8b\85~w³©\9f\95\8b\85~w\95\8b\81wqjg]ZPI?962/,"\1f\18\ e\v\a\ 4\a\ 1\0\0\0\0\0\0\11\ e\ 4\ 1\0\0\0\0,"\1f\15\11\v\a\ 4C96/,%"\eSPF<62/,`ZSIC?96jdZSIF?9mg]VPFC?qg`VPIF?qj`ZSMFCqjdZSPFCtmg]VPMFwqj`ZSPF{tj`]SPI\81wmg]ZSP\85{qj`]VP\85~tjd]ZS\85~tmg]ZV\88\85wmj`]V\8b\85{qjg]Z\8e\85{qjg`Z\8e\88~tmg`]\92\85~tmjd]\92\88\81wmjd]\92\88\85wqjg]\95\8b\85{qjg`\95\8e\85{tmjd\98\8b\85{tmjd\95\8e\88~wqjg×Êù¯¥\9f\98ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿÿôîäÚÓþñçÝÓÊÀ¹îáÓÊÀ¹¯©ÝÓÆÀ¶¯¥\9f×Íù¯©\9f\9c×ÊÀ¶¬¥\9f\98×ÊÀ¹¬¥\9f\95Óʼ¶¬¥\9f\95Óʼ¶¬¥\9f\95Óƹ³©¢\9c\95Ðƹ¯¥\9f\98\92Íö¬¢\9f\95\8eƼ³©\9f\9c\92\8bƼ¯©\9f\95\8e\88ù¬¢\9f\92\8b\85À¹¬¢\9c\8e\8b\81¼¶©\9f\95\8e\85\81¼¯©\9f\95\8b\85~¹¯¥\9f\92\8b\85{¹¬¥\9c\92\88\85~¹¬¢\9c\8e\88\85{¶¬¢\9c\8e\85\81{¶©\9f\95\8e\85\81w¶©\9f\95\8b\85\81w³©\9f\92\8b\85~w³¥\9f\92\88\85~t¯¥\9f\92\88\81{w³¥\9c\92\88\81{t¯¥\9c\8e\85\81{t¯¢\9c\8e\88\81{t¯¢\9c\92\85~{t¬¢\98\8e\85~wq¬¢\95\8e\85~wq¬¢\98\8b\85~wq¬\9f\95\8b\85{wm©\9f\98\8b\85~wm¬\9f\98\88\85{wq©\9f\95\8b\85{wq¬\9f\95\8b\85{wm©\9f\95\88\81{wm©\9f\92\88\85{tm©\9f\92\8b\85{tm©\9f\95\88\85{tm©\9f\92\88\85{tm©\9f\95\88\81{tm©\9f\92\88\81{tj¥\9f\92\88\81wtm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\81wtm¥\9f\92\88\81wqj©\9f\92\88\81wqm¥\9f\92\88\81{qm¥\9f\92\88\81wtj¥\9f\92\85\81{tj¥\9c\92\85\81{qj¥\9c\92\88\81wtj©\9c\92\88~wtm¥\9f\92\88\81wqj\8b\81wmg`ZVPI?92/,%"\e\15\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0"\e\15\ e\a\ 4\ 1\0<6/("\1f\e\15SMC962/(dZPIC<96jdZSMF?9mg]SPIC?mg`ZPMF?qj`ZPPFCqj`]SPICtmg]VPMFwmj`ZSPF~tjd]SPP~wmg]ZSP\85wqj`ZVP\85{tjd]ZP\85~tjg`ZS\88\81wmj`]V\8b\85wqjd]V\8b\85{qjd`Z\8e\85{tjg`]\8e\85~tjj`]\92\88~tmjd]\95\88\81wmjg]\92\88\85wqjg]\95\8b\85{tjg`\98\8e\85{tmj`\98\8e\85{tmjd\98\8e\85~wmjd\9c\8e\85~wqjd\9c\92\88~wqjg\9c\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\85{tmg\9f\95\8b\81{tmg\9f\95\8e\85{tmj\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{wqj\9f\95\8e\85{tmj¢\98\8e\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\88~wqj¢\9c\92\88\81wtj¢\9c\92\85\81{tm¢\9c\8e\85~{tm¢\9c\92\88~wtm¢\98\8e\85\81{tm¢\9c\92\88\81wtm¥\9c\92\88\81wtm¥\9f\8e\88\81{tm¢\9c\92\88\81wtm¥\9c\92\88\81{tmä×Íù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûôÿÿÿþôëáÚÿûîä×ÐÆÀîçÚÐƼ¶¯äÚÍù³©¢ÚÓƼ³¬¥\9fÚÓù¯©¢\9cÚÐù¯©¢\9c×Ðù¯©\9f\9c×Íù¯¥\9f\98ÓÍÀ¶¬¢\9f\98ÓƼ³©¢\9c\92Ðƹ¯¥\9f\98\92Êù¬¢\9c\95\8eƼ³©\9f\9c\92\8bƹ¯©\9f\92\8e\88À¹¬¥\9c\92\8b\85À¹¬¢\98\92\88\85¼³©\9f\95\8e\88\85¼³¥\9f\92\8e\85\81¹¯¥\9f\92\8e\85\81¹¯¥\9c\92\8b\85~¶¯¢\9c\92\88\85~¶¬¢\9c\8e\88\81~¶¬\9f\95\8e\85\81{³¬\9f\98\8b\88~{¯©\9f\92\8b\85~w¯©\9f\95\8b\85{{¯©\9f\92\88\85{t¯¥\9f\92\88\85{t¯¥\9c\92\88\81{t¯¢\9c\92\88\85{t¬¥\9c\8e\85~wt¬¢\9c\8e\85\81wt¬¢\98\8e\85\81wt¬¢\98\8e\85~wt©¢\95\8e\85~wq©¢\92\8e\85~wq¬¢\98\8e\85~wq©¢\95\8b\85~tm©\9f\95\8b\85~wm©\9f\95\8b\81~tm©\9f\95\8b\81{tq©\9f\92\8b\85{tq©\9f\92\8b\85{tq©\9f\92\8b\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm©\9f\92\8b\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\8b\81{tm¥\9f\92\88\81{tm¥\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\95\88\81{tm¥\9f\92\88~wtj¥\9f\92\88\81wtm¥\9f\92\88\81wqm¥\9f\92\88\81wqj\88\81wmg`]SPIC96//("\1f\18\11\v\v\ 4\ 1\ 1\0\0\0\0\0\0\0\v\a\0\0\0\0\0\0%\1f\18\11\v\a\ 4\ 1<6/,(\1f\1f\18SMC<62/,`]SIF?96j`ZPMF?<mg]VPIF?mg`VPMFCqj`ZPMFCtj`ZSMFCtjd]VPICwqj`ZSPF{qj`ZSPI~wmg]ZPP\85wqj`ZVP\85{tj`]ZP\85~tjg`ZS\88\81wmj`]V\8b\85wqjd]V\8e\85{tjd]Z\8e\85~tjg`Z\92\88~tmgd]\92\88~tmjd]\92\88\81wqjg`\92\88\81wqjg`\95\8b\85{tjg`\95\8e\85{tmg`\98\8e\85{tmj`×Êù¯¥\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôëÿÿþôîáÚÓûîçÚÓÆùëÝÓƼ¶¯©ÝÓƼ¶¯¥\9f×ÍÀ¹¬¥\9f\98Óʼ¶¬¥\9f\92ÓƼ³¬¥\9f\95ÓƼ³¬¢\9f\95Óƹ³©¢\9c\92Ðƹ¯©\9f\9c\92Êù¬¥\9f\98\8eÊÀ¶¬¢\9c\92\8eƼ³©¢\98\92\8bƹ¯¥\9f\95\8e\85À¹¬¥\9c\92\8b\85À¶¬\9f\9c\92\88\85¼³©\9f\98\8e\88\81¼³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¥\9c\92\8b\85{¹¯¢\98\8e\88\81{¶¬¢\98\8e\88\81w¶¬\9f\98\8e\85\81w³©\9f\92\8e\85~w³©\9f\95\88\85~w¯©\9f\92\88\85~t¯¥\9f\92\88\85{t\95\88\81wmj`]VPF?96//("\1f\15\ e\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\ e\v\a\ 4C92,("\1f\eSPC<62/,`ZPFC<96j`ZPIC?9jd]SMIC<mg]SPIF<mg`VPIF?qj`ZSPICwjd]VPIFwqj]ZSPI{tj`]VPM~tmd]ZSP\81wmg`]VP\85{qjd]ZS\85~tjg`]V\88\81wmg`]V\88\81wqjd]Z\8b\85{tjg`Z\8e\85{tjg`]\8e\85~tmjd]\92\88~wmjd]\92\88\81wqjg`\95\8b\81wqjg`\95\8b\85wqjj`\98\8b\85{tmj`\98\8e\85{tmjd\98\8e\85{tmj`\9c\8e\85~tqjd\9c\92\88~tmjd\9c\92\85~wqjg\9c\92\88~wqmg\9f\92\88\81{qmg\9f\95\88\81wqmg\9f\95\8b\81wqmg\9f\95\8b\81{tmj\9f\98\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\9f\95\8e\85{tmj\9f\98\8b\85~tmj\9f\98\8e\85~wqj\9f\95\8e\85{wqj\9f\95\8e\85{tqj\9f\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\92\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wtm¢\9c\8e\85\81{qm¢\9c\8e\85~wtm¢\98\92\85~wtm¢\9c\8e\88~wtm¢\9c\8e\88~{qmÝÓÊÀ¹¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëá×ÿøîáÚÍƼîä×ÐƼ¶¬á×Êù¯¬¢ÚÓƼ³¬¢\9fÚÐù¯©¢\98×Íù¯¥¢\9c×Íù¯¥\9f\9cÓÊÀ¶¬¥\9f\98ÓƼ³¬¢\9f\92ÐƼ³©\9f\9c\92Íù¯¥\9f\95\92ÊÀ¶¬¢\9c\92\8bƼ³©\9f\9c\92\88ƹ¯¢\9f\95\8e\85À¹¬¢\9c\92\8b\85¼¹¬\9f\95\8e\88\85¼³©\9f\95\8b\85\81¹¯¥\9f\92\8e\85~¹¯¥\9f\95\8b\85~¹¬¥\9c\92\88\85{¹¬¢\98\8e\88\85{¶¬\9f\95\8b\88\81{³¬\9f\95\8b\85~w³©\9f\95\8b\85~w¯¥\9f\92\8b\85~w¯¥\9f\92\88\85{t¯¥\9c\92\88\81{t¬¢\9c\92\88\81{t¬¢\9c\8e\88\81{q¬¢\98\8e\85~{q¬¢\98\8e\85~wq¬¢\95\8b\85\81wq¬\9f\98\8e\85~wq©\9f\98\8e\85{wq©\9f\95\8b\85{wq©\9f\95\88\85{tq©\9f\95\8b\85{wm©\9f\92\88\85{tq©\9f\92\8b\85{tm©\9f\92\88\85{tm©\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9f\92\88\85{tm©\9f\92\88\81wtm©\9f\92\88\81wtm¥\9c\92\88\81wtj¥\9c\92\88\81wtj¥\9f\92\88\81wqj¥\9c\92\88~wqj¥\9f\92\88~{tj¥\9f\92\85~wqj¥\9c\92\85\81wqj¥\9c\92\85\81wqj¥\9c\8e\85~wqj¢\9c\92\85\81wqj¢\9c\8e\85~wqj\88~tmg]ZSPF?92/,("\e\11\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 4\0\0\0\0\0\0\1f\e\11\ e\a\ 4\ 1\096/("\1f\e\15PI?962/(`ZPIC<96j`ZPMF?9mg]SPFC?mg]VPIF?qj]ZPMF?qj`ZSPFCtmd]SPMFwmg]VSMF{qj`]VPI~tjg]ZPM\81wmg`ZVP\85{qj`]ZP\85~tjg]ZS\88~wmg`]V\88\81wmj`]V\8e\85wqjd]Z\8e\85{qjd`Z\92\85{tjg]]\92\85~tmgd]\92\88~wqjd]\95\88\81wqjg`\95\8b\81{tjg]\95\8e\85{qjj]\98\8e\85{tjj`\98\8e\85~tmjd\98\8e\85~wmjd\9c\8e\85~tmjd\9c\92\85~wqjg\9f\92\88~wqjg\9c\92\85~wqjg\9f\92\88\81wqmg\9f\92\88\81{tmg\9f\92\88\81wtmg\9f\95\88\85{tmj\9f\95\88\85{tmj\9f\92\88\85{tmj\9f\98\8b\85{wmj¢\95\8e\85{tqj\9f\95\8e\85~tqj\9f\98\8b\85{tqj\9f\98\8e\85~tqj\9f\98\8e\85{tqj¢\98\8e\85~wqj\9f\98\8b\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wtm¢\98\8e\85~wqj¢\9c\92\85~wqm¢\9c\8e\85\81wtj¢\98\8e\85\81{tj¢\9c\8e\85~wtj¢\98\92\85~wtj¥\9c\92\85\81wqj¢\9c\92\88\81wtmáÓÊù¯©¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñëá×ÿøîáÚÐÆÀñäÚÐƼ¶¬á×Íù³©¢ÝÐƹ³¬¥\9cÚÍù¯©¢\98×Íù¯©\9f\98×Íù¯¥\9f\95×ÊÀ¶¬¥\9f\95ÓÆÀ¶¬¢\9f\92Ðƹ³¥\9f\9c\92Íù¬¥\9f\98\8eʼ¶¬¢\9c\92\8bƼ³©\9f\98\8e\88ƹ¯¥\9f\92\8e\85À¹¬¢\9c\92\8b\85¼³¬\9f\98\8e\88\81¹³©\9f\95\8e\88\85¹¯¥\9f\95\8b\85\81¹¯¥\9c\92\8b\85~¹¬¢\9c\92\88\85~¶¬¢\98\8e\88\81{¶¬\9f\95\92\85\81{¶©\9f\92\8b\85~w¯©\9f\92\8b\85~w³©\9f\92\88\85~w¯¥\9f\92\88\85{w¬¢\9c\8e\88\85{t¬¢\9c\92\85\81{q¯¢\98\8e\85\81{q¬¢\98\8e\85\81{q¬¢\98\8e\85~wt¬\9f\98\8b\85~wq¬¢\95\8b\85~wq©\9f\98\8b\85~wq©\9f\95\8b\85~tq©\9f\95\8b\85{wm©\9f\95\88\85{wm©\9f\92\88\85{tm©\9f\95\88\85{tm¥\9f\92\88\85{tm©\9f\95\88\85{tm¥\9f\92\88\81{tm©\9f\92\88\81wtm¥\9f\92\88\81wqm¥\9f\92\88\81wqm¥\9f\92\88\81wqj¥\9f\92\88\81wqm¥\9c\92\88\81{qm¥\9f\92\88\81wqm¥\9f\92\85\81wqj¥\9c\92\88~wqj¥\9c\92\85~wqj¥\9c\92\85~wqj¥\9c\92\85~wqj¥\9c\92\85~wqj¥\9c\92\85~wqj¥\9c\92\85~wqm¥\9c\92\88~wqj\88\81wmg]ZVPI?96/,("\1f\18\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\15\ e\v\a\ 4\ 1<6/,%\1f\e\18SMC96/,,`ZPI?<66j`ZPMC?9mg]SPIC<mg]VPIC<mg]VPIF?qj`VPMF?tj`ZSPICwqg]VPMF{qj`ZSPI~tjd]VPM\81wmj`ZSP\85wqjd]VP\85{qmd]ZS\88~tjg`ZS\8b\81wqj`]V\8b\85wqjd]V\8b\85{qjg`Z\8e\85{tjg`]\92\85{tmgd]\92\88~tmjd]\95\88~wqjg]\92\8b\81wqjg`\92\8b\81{tjg`\98\8e\81{tmj`\98\8e\85{tmj`\9c\8e\85~tmjd\9c\8e\85~wqjd\9c\8e\85~wqjg\9f\92\85~wqjg\9c\92\88~wqjg\9f\92\88~{qmg\9f\92\85\81wqmg\9f\95\88\81{tmj\9f\92\85\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\95\88\85{tmj\9f\95\88\81{tqj\9f\95\8b\81{tqj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85{tqj¢\98\8b\85~wqj¢\95\8b\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85{wqj¢\98\8b\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqjÝÓÊÀ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçá×ÿøëá×ÐƼîä×Íù¶¬á×ÊÀ¹¯©¢ÚÐƹ³©¢\9cÚÍù¯¥¢\95×ÊÀ¶¯¥\9f\98×ÊÀ¹¬¥\9f\95×ʼ¶¬¥\9f\95ÓƼ³¬¢\9f\92Ðƹ¯©¢\9c\92Íö¬¥\9f\98\8eÆÀ¶©¢\9c\92\8bƹ¯¥\9f\95\8e\88ù¯¢\9f\92\8e\85À¶©¢\9c\92\8b\85¼³¬\9f\95\92\88\85¼³©\9f\95\8b\85\81¹¯¥\9c\92\8b\85~¹¯¢\9c\92\88\85~¹¬¢\98\92\88\85{¹¬¢\98\8e\85\81{¶©¢\95\8b\85\81{³©\9f\95\8b\85~w³©\9f\92\8b\85~w³¥\9f\92\88\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\81{t¬¥\9c\92\88\81{t¬¢\9c\8e\88~{t¬¢\98\8e\85~{t¬¢\98\8e\85~wq¬\9f\98\8b\85~wq©\9f\95\8b\85{wq¬\9f\95\8b\85~wm¬\9f\95\8b\85{wm©\9f\92\8b\85{tm¬\9f\98\88\85{tm©\9f\95\88\81{tm©\9f\95\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81wqm¥\9c\92\88\81{tj¥\9c\92\88~wtj¥\9f\92\88\81wtm¥\9c\92\88~wtj¥\9f\92\85\81{qm©\9c\92\88~wtj¥\9f\95\88\81{qj¥\9c\92\88\81wtj¥\9c\92\85\81wtm¥\9c\92\88~wqj¢\9c\92\85\81wtj¢\9c\92\88\81wqj¥\9c\92\85~wqj¢\9c\92\85~wqj\88~wmg]ZSPF?92/,("\e\15\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\e\15\ e\a\ 4\ 1\092/(\1f\1f\18\15PFC96//(`VPIC<62j`ZPIC99md]SPFC<mg]VPIC?qg`VPIF?qj`ZSMFCtjdZSPICwmg]VPMFwqj`ZSPI~tjd]VPM\81wqg`ZSP\85wqj`]VP\85{tjd]ZS\85~wmg`ZS\88\81wmjd]S\8b\81{qjd]V\8b\85{qjg]Z\8e\85~tmg`]\8e\85~tmg`]\8e\88\81wmjd]\92\88\81wqjd]\92\88\81{qjd`\92\88\85{qjg`\95\8b\85{tmg`\95\8e\85{tmg`\98\8e\85{wmjd\98\8e\85{wmjd\9c\8e\85~tqjg\98\8e\85~wqjg\9c\92\88~wqjg\9f\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81{qmg\9f\92\88\81wtmg\9f\92\8b\81{tmj\9f\92\8b\81{tmj\9f\95\8b\81{tmj\9f\95\8b\85{tmj\9f\92\88\81{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\98\8e\85{tmj\9f\95\8e\85~wmj\9f\95\8e\85{wmj\9f\95\8e\85{tqj¢\98\8e\85~tmj\9f\95\8e\85~wmj\9f\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85~wqjÝÓƼ¹¯¥\9fÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîÿÿÿûîçá×þøëáÓÍƼîá×Êù³¬á×ÊÀ¹¯©¢×Ðü³©¢\9c×ÍÀ¹¯©\9f\9c×ÊÀ¹¬¥\9f\95Óʼ¶¬¥\9f\98Óʼ¹¬¥\9f\95Óʹ³©¢\9c\95Íƹ¯¥\9f\9c\92Êö¬¢\9f\95\92ÆÀ¯©\9f\9c\95\8bƼ¯¥\9f\98\8e\88ù¬¥\9c\95\8e\85À¶¬¢\98\92\8b\85¼³©\9f\95\8e\88\85¼³¥\9f\92\8b\88\81¹¯¥\9c\92\8b\85~¹¯¢\9c\92\88\85~¹¬\9f\98\8e\88\81{¶¬\9f\98\8e\88\81{¶©\9f\95\8b\85\81{³©\9c\98\8b\85\81w³©\9f\95\8b\85{w³¥\9c\92\88\85{t¯¥\9c\92\88\85{w¯¥\98\92\85\85{t¬¥\95\8e\88\85{t¬¥\95\8e\85\81wq¬¢\95\8e\85\81{t¬¢\95\8e\85\81wq©¢\95\8b\85~wq©¢\92\8e\85~wq©¢\92\8b\85~tq©\9f\92\8b\85~wq©\9f\92\8b\81{tq©\9f\95\8b\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\8b\81{tq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¢\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9f\8e\88\81{tm¥\9f\8e\85~{tm¥\9f\8e\88\81{qm¥\9f\8e\88\81{tm¢\9c\8e\88~{tm¥\9c\8e\85~wtj¥\9c\8e\88\81wqm¥\9c\92\85~wtj¥\9c\92\85~wqj¥\9c\92\85\81wtj¥\9c\8e\85\81wqj¥\98\8e\85~wtj¥\9c\8e\85~wqj\88~tmg`]SPF?96/,%\1f\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\ 1\096,(\1f\1f\e\15PI?96/,(`VPF?<62j`VPIC?9jd]SMIC<md]SPIC<mg]VPIF?qj`ZPIFCtj`ZSPF?wmg]VPMFwqj`ZSPF~tj`]SPM~tmg]ZVP\85{qj`]VP\85{tjg]ZS\88~wmg`ZS\88\81wmj`ZV\8b\85{mj`]V\8b\85{qjg`Z\8e\85{qmg`]\8e\85~tmjd]\92\88~tqjg]\92\88\81wqjg]\92\88\81wqjg]\92\8b\81{qjg`\92\8b\85{qmg`\95\8b\85{qmj`\95\8e\85{tmjd\98\8e\85~tmjd\9c\8e\85~wmj`\9c\92\85~wmjd\9c\92\88~wqjg\9c\92\88~wqjg\9f\92\88\81wqjg\9c\92\8b\81wqmg\9f\92\8b\81{tmg\9f\92\8b\81{tjj\9f\95\8b\81{tmg\9f\95\8e\81{tmj\9f\95\8b\85{tjj\9f\92\8b\85{tmj\9f\98\8b\85{tmj\9f\95\8e\85{wmj\9f\95\8e\85{tmj\9f\95\8e\85{tqj\9f\95\8b\85{tmj\9f\98\8e\85~tmj¢\95\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wmj\9f\98\8e\85~wqj\9f\9c\8e\85~tmj\9f\95\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85\81wmj¢\9c\92\85~wqj¢\98\8e\85~wqjÝÓʼ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿÿûîçÝÓþôçÝÓÊüîáÓÊÀ¼³¬á×ÊÀ¹¯¥¢ÚÓƹ³©¢\9c×ÍÀ¹¯©\9f\9c×Íù¬¥\9f\98ÓÊÀ¹¯¥\9f\9c×ÊÀ¹¬¥\9f\95Óʹ³©¢\9c\95Ðƹ³¥\9f\98\92Íù¯¥\9f\95\8eƼ³¬\9f\9c\92\8bƼ¯¥\9f\98\8e\88ù¯¥\9f\95\88\85À¶¬¢\9c\92\88\85¼¶©\9f\98\8e\88\81¹³¥\9f\92\8e\85\81¹³¢\9f\92\8b\85\81¹¯¢\98\92\88\85~¹¯¢\9c\92\88\85{¶¬¢\95\8e\88\81{¶¬\9f\95\8b\85\81{³©\9f\95\8b\85~w³©\9f\92\88\85{w¯©\9c\92\88\85{t¯¥\9c\92\88\81{t¯¥\9c\92\85\81{t¬¢\98\8e\85\81wt¬¢\98\92\85\81wq¬¢\95\8e\85~wt¬¢\95\8e\85~wq©\9f\95\8e\85~wq©\9f\95\8b\85~tq©\9f\92\8b\85~tq©\9f\92\8b\81~tq©\9f\92\88\81{wm¥\9f\92\88\81{tm¥\9f\92\88\81{tq¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9f\8e\88~{tm¥\9f\8e\88~{tm¥\9f\8e\88\81{tm¥\9f\92\88~{tm¥\9f\8e\88~{qm¥\9c\92\88~{tm¥\9c\8e\88~wqm¥\9c\8e\88~wqj¢\9f\8e\85~{qm¢\9f\8e\88~wqj¥\9c\8e\88~wqj¢\9f\8b\88~wqj¥\9c\8e\88~wqm¥\9c\8e\85~wqj¢\9c\8e\85~wqm¢\9c\8e\88~wqj¢\9c\8e\85~wqj\85~tmd]ZSPF?62/,%\1f\e\11\ e\a\ 4\ 4\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0\1f\18\11\v\ 4\ 1\ 1\092,("\1f\e\15PI?96/,,`ZPFC<62j`VPIC?9jdZSPIC<mg]VPIF?mj]VPMI?qj`ZPMICtj`]VPMCwmg]ZPPF{qj`ZSPI~tjg]ZSM\81wmg`]SP\85{qj`]ZS\85~qjg]]V\85~tmj`]V\88\81wqjd]Z\8b\81{qjd]Z\8b\85{tjg`Z\8e\85{tjj`]\8e\85~wmj`]\92\88~wqjg`\95\88~wqjg]\95\8b\81wqjg`\95\8b\81{tjj`\98\8b\81{qmj`\98\8e\85{tmj`\9c\8e\85{tmj`\98\8e\85~tmjg\9c\92\85~wmjd\9c\92\88~wqjg\9c\92\88~wqjg\9f\92\88\81wqjg\9f\92\88\81{qmj\9f\92\88\81wtmg\9f\92\8b\81{qmj\9f\92\88\81{tmj\9f\92\88\81{qmg\9f\95\8b\81{tmj\9f\92\8b\85{tqj\9f\95\88\85{wqj\9f\95\8b\81{tmj\9f\98\8b\85{tmj\9f\95\8e\85~tmj\9f\98\8b\85{tqj\9f\95\8e\85~tqj\9f\95\8b\85{wqj\9f\95\8b\85{tqj¢\95\8e\85{wqj\9f\95\8e\85~tqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\9c\8e\85~wtj\9f\95\8e\85~wtj¢\98\8e\85~wtm¢\98\8e\85~wtjáÓƼ¶¯©\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûîçá×þøîáÓÍƼîá×Êù³¬áÓÊÀ¹¯©\9fÚÐƹ¯©¢\9c×Íù¯¥\9f\95×ÊÀ¶¯¥\9f\98ÓÊÀ¹¬¥\9f\95ÓÊÀ¶¬¢\9f\95ÓƼ³©¢\9c\92Ðƹ¯©\9f\98\92Êö¬¢\9f\95\8eÆÀ³©¢\9c\92\8bƼ¯¥\9f\95\8e\88ù¯¢\9c\95\8b\85À¹¬\9f\98\92\8b\85¼³©\9f\98\8e\88\81¼³©\9f\95\8e\85\81¹¯¥\9f\92\8b\85~¹¯¥\9c\92\88\85~¹¬¢\9c\8e\88\85{¶¬¢\95\8e\85\81{¶©\9f\95\8e\85~{³©\9f\95\8b\85~w³¥\9f\92\8b\85~w³¥\9f\92\88\85~w¯¥\9c\92\88\81{t¯¢\9f\8e\88\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85~{t¬\9f\98\8e\85~wq¬\9f\95\88\85~wq¬\9f\95\8b\85~wm©\9f\95\8b\85{wm©\9f\95\8b\85~wm©\9f\98\88\85{wq©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{qm¥\9f\95\88\81{tm©\9f\92\88\81wtm¥\9c\92\88\81{qm¥\9c\92\88\81wqj¥\9f\92\88\81{tm¥\9f\92\88\81{qj¥\9f\92\85\81wtm¥\9c\92\88\81wqm¥\9c\92\88\81wtm¥\9c\92\85\81wtj¥\9f\92\85~wtj¥\9c\92\85~wqm¥\9c\92\88~wqj¥\9c\92\85~wqj¢\9c\92\85\81wqj¥\9c\92\85~wqj¢\9c\92\88~wqj¢\98\92\88~wqj¥\9c\8e\85~wqj\88~wmg`]SPF?66/,(\1f\e\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\a\ 1\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 1\096/("\1f\e\18SIC962/,`ZPIC<96j`ZPMF?9mg]SPFC?mg]VPMC?mg]ZPPFCqj`ZSMICtj`]SPMCwmg]VPPF{qj`ZSPI~tjd]VPM\81wmg`ZSP\85{qjd]VP\85{qjg]ZS\88~wmg`ZV\88\81wmj`]V\8b\85wqjd]Z\8e\85{tjg`Z\8e\85{tmg`Z\92\85~tmj`]\92\88~tmj`]\92\88\81wmjd]\92\88\81wqjg`\95\8b\85{tjg`\98\8b\81wtjj`\95\8b\85{tmj`\98\8e\85{tmj`\9c\8e\85{tmjd\9c\8e\85~wqjd\9c\92\85~tqjg\9c\92\85~wqjg\9f\92\88\81wqmg\9c\92\88\81wqmg\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wqj\9f\95\8b\85{wmj¢\95\8b\85~wqj\9f\98\8b\85{wqj\9f\95\8e\85~wtj\9f\95\8b\85~wqj\9f\98\8b\85~wqj\9f\98\8b\85{wtj¢\98\8b\85~wqj\9f\98\8b\85~wqj\9f\98\8b\85~ttj¢\98\8b\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\88~wqjáÓƼ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûñÿÿÿûîçáÓþôëáÓÍƼîá×Êù¶¬áÓÊÀ¹¯©\9fÚÐù³©¢\9c×ÊÀ¹¯¥\9f\95×ÊÀ¶¯¥\9f\98ÓÊÀ¶¬¥\9f\95ÓƼ¶¬¢\9f\95Óƹ³©¢\9c\92Ðù¯¥\9f\9c\92Êù¬¢\9f\92\8eƼ³¬\9f\9c\92\8bƹ¯¥\9f\95\8e\88ù¬¢\9c\92\8e\85À¶©¢\9c\8e\88\85¼³©\9f\95\8e\88\81¹³¥\9f\92\8e\88~¹¯¢\9c\92\8b\85~¹¬¢\9c\8e\88\85{¶¬\9f\98\8e\88\85{³©\9f\95\8e\85~w³©\9f\92\8e\85~w³©\9f\92\8b\85~w¯¥\9c\92\8b\85~w¯¥\9c\92\88\85{t¯¢\9c\92\88\81{t¯¢\9c\8e\88\81{t¬¥\98\8e\85\81{t¬¢\98\8e\85~wq¬\9f\98\8e\85~wq¬\9f\95\8e\85~wq©\9f\95\8b\85~wm¬\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\92\8b\81~wm©\9f\92\88\85~wm©\9f\92\88\81{tm©\9f\95\88\85~tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tj©\9f\92\88\81{qm¥\9f\92\88\81wtj¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\85\81{tm¥\9c\92\88\81{tj¥\9f\92\85\81wtj¥\9c\92\88~wqj¥\9f\92\88~{qj¥\9c\92\85~{qj¥\98\8e\88\81{tj¥\9c\92\85\81{qj¢\9f\92\88~{qm¢\98\92\85~wqj¥\9c\8e\85~{qm¢\9c\8e\85~wtj\88~tmg`ZSPF?92/,("\1f\18\11\v\a\ 4\ 1\ 1\0\0\0\0\0\0\0\v\ 4\ 1\0\0\0\0\0\1f\1f\15\11\v\a\ 4\ 1<6/(%\1f\1f\18PI?<6/,(`ZPFC<66j`VPIC?9md]SPFC<qg]VPIF?mg]VPMC?qj`ZPMF?tj`ZVPFCwmg]VPMF{mj`ZSPI~tjd]VPM\81wmg`ZSP\85{qj`]VP\85{tjd]ZS\85~tjg`]S\88\81wmjd]V\8b\85{mjd]Z\8b\85{tjg`Z\8e\85{tjj`]\8e\85~tmj`Z\92\85\81wmjd]\92\88\81wmjd]\92\8b\81wqjd]\95\8b\85{qmg`\95\8b\85{tmg`\98\8e\85{tmg`\98\8e\85{tmjd\95\8e\85{tqjd\98\8e\85~tqjg\9c\92\85~wqjg\9c\92\88\81wqjg\9c\92\88\81wqmg\9f\92\88\81{qjg\9f\92\88\81wtmg\9c\95\88\81{qmj\9f\92\88\81{tqj\9f\92\8b\81{tmj\9f\95\8b\81{tmj\9f\92\8b\85{tmj\9f\95\8b\81{tqj\9f\92\8b\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj¢\95\8b\85{tmj\9f\95\8b\85~wmj\9f\95\8b\85{tqj\9f\95\8b\85~wmj\9f\95\8b\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\9c\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqjÝÓƼ¶¯¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñÿÿÿûñçÝÓþôëÝÓÍƼîá×Êù¶¬áÓÊÀ¹¯©\9fÚÍù¯©¢\9fÓÊÀ¹¬©\9f\98ÓÊÀ¹¯¥\9f\95ÓÊÀ¶¬¥\9f\95Óʼ³¬¥\9f\98ÓƼ³¬¢\9f\95Ðƹ¯©\9f\95\92ÊÀ¶¯¢\9f\95\8eƼ³©\9f\9c\92\8bù¯¥\9f\95\8e\85ù¬¢\9c\92\8b\85¼¶©\9f\98\92\88\85¼³©\9f\95\8e\88\81¹³¥\9f\92\8e\85~¹¯¢\9c\92\8b\85~¹¯¢\9c\92\88\85~¶¬\9f\98\8e\88\81{¶©\9f\98\8e\88\81w³©\9f\92\8b\85\81w³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9f\8e\88\81{t¯¥\9c\8e\88\85{t¬¢\9c\8e\88\81{t¬¢\98\8e\85\81wt¬¢\98\8e\85~wq¬\9f\95\8e\85~wq¬\9f\95\8b\85~wq¬\9f\92\8b\85~wq©\9f\92\8b\85{wm©\9f\92\8b\85~tq©\9f\92\8b\81{tm©\9f\95\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81{tm¥\9c\8e\88\81wtm¥\9f\8e\88\81{tm¥\9c\92\88\81{tj¥\9c\8e\85\81wtm¥\9f\92\85\81{tm¥\9c\8e\85\81wtj¢\9c\8e\85\81wtj¢\9c\8e\85~{tm¢\9c\8e\85\81wtm¥\9c\8e\85~wqm¢\98\8e\85~wqm¥\9c\92\85\81wqj¢\9c\8e\88~wqj¢\9c\8e\88~wqm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj\85~tjg]ZSPF?62/,%\1f\e\11\v\a\v\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\11\v\a\ 4\ 1\092,("\1f\e\11PF?92/,(]VPFC<62j`VPMC?9j`]SPIC<md]SPIC?mj]VPIC?qj`VPMF?tj`ZSPICwjd]VPIF{mj]ZSPI{tj`ZSPM~wmg]ZSP\81{qjd]VP\85{tjd]ZS\85~tjg`]S\88~wmj`]V\8b\81wqjd`V\8b\85{qjg`Z\8e\85{tmg`]\8e\85~tmjd]\92\85~wmjg]\92\88\81wqjg]\92\88\81wqjg]\92\8b\81wtmg`\95\8b\85{tmjd\95\8b\85{tmj`\98\8e\85{tmjd\98\8e\85{tqjd\9c\8e\85~wqjg\9c\8e\88~wqjg\9c\92\88~wqjg\9c\92\88~wqjg\9f\92\88~{qmg\9c\92\88\81wqmg\9f\92\88\81{tmg\9f\92\8b\81wtmj\9f\92\88\81{tmj\9f\92\8b\85{tmj\9f\92\88\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj\9f\92\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{wqj\9f\95\8b\85{tmj\9f\95\8b\85~tmj\9f\95\8b\85{tqj\9f\95\8e\85~tqj¢\98\8e\85{tqj¢\98\8e\85~wqj\9f\95\8e\85{wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqjÝÓʼ¶¬¥\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøñÿÿþûîçÝÓþñçÝÓÊüîáÓÊù³©ÝÓƼ¶¯¥\9f×Íù¯©\9f\9cÓÊÀ¹¬©\9f\9cÓʼ¶¬¥\9f\98Óʼ¶¬¥\9f\95ÐƼ³©¥\9c\95Ðƹ³©¢\9c\92Íù¯¥\9f\95\92ÊÀ¶¬¢\9f\95\8eƼ³¬\9f\9c\92\88ƹ¯¥\9f\95\8e\88ù¬¢\9c\95\8b\85¼¹©¢\98\92\88\85¹¶¥\9f\95\8e\85\81¹³¥\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¶¬¢\9c\8e\88\85~¶¬\9f\98\8e\88\81{¶¬\9f\95\8b\88\81{³©\9f\95\8b\85~w³©\9f\92\88\85~w¯©\9f\92\88\85{t¯¥\9c\92\88\85{w¬¥\9c\92\85\81wt\92\88~tmjd]VPF?96/,("\e\15\11\v\a\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\e\15\11\v\a\ 4?92,("\1f\eSIC<62/,`ZPIC992g]VPIC?9j`ZSPFC<jg]SPIF?mg]VPMF?qj`ZSPFCtj`]SPICtmg]VPPFwqj`ZVPI~tjd]VSP~wmg`ZSP\85wqjd]VP\85{qjg`ZS\88~tmg`]S\88\81wmg`]V\8b\81wmj`]Z\8e\85wqjg]Z\8b\85{tjg`]\8e\85~tmg`Z\92\88~wmj`]\92\88~wmjg]\92\88~wqjd]\95\88\85{qjg]\95\8b\81{tjg`ÓƼ¶¬¥\9f\95ÿÿÿÿÿÿþûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûñëÿÿûñçá×ÐûîáÚÍƼ¹äÚÍƹ¶¬¥ÚÐù³¬¢\9fÓʼ³¬¥\9f\98Ðƹ³©¢\9c\92Ðƹ³¥¢\98\92Ðƹ³©¢\9c\95Ðƹ¯¥\9f\98\92Íù¯¥\9f\95\8eÊÀ¶¬¢\9f\92\8eƼ³©¢\98\92\8bù¯¥\9f\98\8e\88À¹¬¢\98\95\8b\85¼¶©\9f\98\92\88\85¼³¥\9f\95\8e\88\81¹¯¢\9f\92\8b\85\81¹¯¢\9f\92\8b\85~¶¬¢\9c\8e\88\81~¶¬\9f\98\8e\88\81{³©\9f\95\8e\85~{¯¥\9f\92\8b\85~w¯¥\9c\92\8b\85~w¯¥\9c\92\88\85{w¬¥\9c\92\88\81{t¬¥\98\92\85\85{t¬¢\98\92\85\81wt\8e\88{wmg`]SPF<66/,(\1f\18\11\ e\a\ 4\ 4\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\e\15\ e\v\ 4\ 4?6/,%"\1f\eSMC<62/,`VPF?<62g]SPFC<9j`ZSMFC<jd]SPIC?mg]VPIC?qj]ZSIF?tjdZSPICtmd]VPMFwqj`ZSPI~tjdZVPM\81wmg]ZSP\81{mj`]ZP\85{tjd]ZS\85~tmg`ZS\88\81wmjd]V\8b\81wqjd`Z\8b\85{qjg]Z\8e\85{tmg`Z\8e\85~tmjd]\92\85~tmjd]\92\88\81wqjg]\92\88\81wqjg`\95\8b\81wqmg`\95\8b\85{tmj`ÓƼ¶¬¢\9f\95ÿÿÿÿÿÿþôÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþøîçÿÿûñçÝ×Ðøîá×ÍƼ¹çÚÐƼ¶¬¥ÚÐù³©¢\9cÓÆÀ¶¬¥\9f\95Ðƹ³©¢\9c\92Ðƹ³©¢\9c\92Ðƹ³¥¢\9c\92Ðƹ¯¥\9f\98\92Íù¯¥\9f\98\92ÊÀ¶¬¢\9f\92\8eƼ³©\9f\9c\92\8bƹ¬¥\9f\95\8b\88À¹¬¢\9c\92\8b\85¼³©¢\95\92\88\85¼³©\9f\92\8e\85\81¹¯¥\9f\92\8b\85~¹¯¢\9c\8e\8b\85~¶¬¢\9c\8e\88\81{¶¬\9f\98\8e\88\81{³¬\9f\98\8b\85~{³©\9f\95\8b\85~w¯©\9f\92\88\85{w¯¥\9c\92\88\85{t¬¥\9c\8e\88\81{t¯¥\9c\92\85\81{t¬¢\95\8e\85\81wq¬¢\98\8e\85\81wt©¢\95\8b\85~wq©¢\92\8b\85~wq©\9f\92\8b\85~tq©\9f\92\8b\85~wq©\9f\92\8b\85~wq¥\9f\92\8b\85{tm©\9f\92\88\81{tq¥\9f\92\8b\81{tm¥\9f\92\88\81~tm¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9f\92\88~{tm¢\9c\8e\88~{qm¥\9c\92\88~{tj¥\9f\8e\88\81{qj¢\9c\8e\88~wqj¢\9c\8e\88~wqj¢\9c\8e\85~{qj¢\9c\8e\85~{qj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8b\85~wqj¢\9c\8b\85~wmj¢\9c\8e\85~wmj¢\9c\8e\85{wqj¢\9c\8b\85~wmj¢\9c\8e\85~wmj¢\9c\8e\85{{qm¢\98\8e\85{wmj¢\9c\8e\85{wmj¢\98\8e\85{wmj\85~tjd]VSMF<6//,%\1f\e\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\e\11\v\a\ 4\0\062/("\1f\e\15PF?96/,(]VPF?<66j]VPIC?9j`]SMFC<mg]SPIC?mg]VPIF?qj`ZPPFCqj`]SPICtjg]VPMFwqj`ZSPI{tjd]VPM\81wmg]ZSP\81wqj`]VP\85{qjd]ZS\85~tmg]ZS\88~tmg`]S\88\81wmjd]V\88\85wqjd]V\8b\85{qjg`Z\8e\85{tjj`Z\92\85~tmj`]\92\85~tmj`]\92\88~wqjd]\95\88\81wqjd`\92\8b\81wqjj]Óʼ¶¬¥\9f\95ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçá×Íøîá×ÍƼ¹äÚÍƹ¶¬¥ÚÐù¯©¢\9fÓʼ¶¬¢\9f\95Ðƹ³©¢\9c\92Ðƹ¯©¢\98\95Ðƹ¯©\9f\98\92Íù¯¥\9f\98\92Íö¯¢\9f\95\8eÆÀ¶¬¢\9f\95\8eƼ¯©\9f\9c\8e\88ƹ¯¥\9f\98\8e\88À¹¬¢\98\92\8b\85¼¶©\9f\95\92\88\85¹³¥\9f\92\8e\85\81¹¯¢\9f\92\8e\85~¶¯¢\9c\92\8b\85~¶¬\9f\9c\92\88\81~¶©\9f\95\8e\88\81{¯©\9f\95\8b\88~w³©\9f\92\8b\85~w¯©\9c\95\88\85{w¯¥\9c\92\88\85~w¬¢\98\92\88\85{t¬¢\98\92\85\85{t¬¢\98\8e\85\81{t\8e\85{tjj`]SMC<62/,(\1f\18\15\ e\a\a\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\0("\18\15\ e\a\ 4\ 1<6/,%"\1f\18PIC96//(]VPF?962g]VPF?<6j`ZPIF?<j`ZSMFC<mdZSPIC?mg]VPIF?qj`ZSMFCtjd]SPMFwmg`ZSPF{qjd]VPM~tmd]ZSP\81wmj`ZVP\85{qj`]ZP\85{tjg]ZS\88~tmg`]S\88\81wmjd]V\8b\85wqjg`]\8b\85{tjg`Z\8e\85{tmj`]\8e\85{tmjd]\92\88~tmjd]\92\88~wmjg]\92\8b\81wqjg`\92\88\81wtjj`\92\8b\81{qmj`\95\8e\85{tmj`\95\8b\85{tmjd\98\8e\85{tmjd\95\8e\85~tqjd\98\8e\85~wmmg\9c\8e\85~wqjd\9c\92\88~wqjg\9f\92\88\81wqjg\9c\92\88~wqmg\9f\92\88\81wqmg\9f\92\88\81{qmg\9c\92\88\81wtmg\9f\92\88\81{qmg\9f\92\88\81{tmj\9f\95\8b\81{tmj\9f\92\88\81{tmj\9f\92\88\85{tmj\9f\95\88\85{tmj\9f\92\8b\85{tmj\9f\95\8b\81{wmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85~wqj\9f\95\8b\85{tqj\9f\95\8e\85{tqj\9f\95\8b\85~tmj\9f\98\8e\85~wqj\9f\98\8b\85~wqj\9f\95\8e\85{wqj\9f\95\8b\85{tqj\9f\98\8e\85~wqjÝÓƼ¶¬¥¥ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîçÝÓþñçÝÓÍƹîÝÓÊù³¬ÝÓƼ¶¬¥\9f×ÍÀ¹¯©\9f\98ÓƼ¹¬¥\9f\95ÓƼ³¬¥\9f\92ÓƼ¶¬¥\9f\98ÓƼ³©¢\9f\92Ðƹ¯¬\9f\9c\92Íù¯¥\9f\98\8eÊÀ¶¬¢\9c\92\8bƼ³©¢\98\92\88ù¯¥\9f\95\8e\88À¶¬¢\9c\92\8b\85¼³©\9f\95\92\88\85¼³¥\9f\95\8e\85\81¹¯¥\9c\92\8b\85~¹¬¢\9c\92\88\85{¶¬¢\98\8e\88\81{³¬\9f\98\8e\85\81{³©\9f\92\8e\85\81w¯¥\9f\92\8b\85~w¯¥\9f\92\8b\85~t¯¢\9c\92\88\85{t¯¥\9c\92\88\81{t¯¢\9c\8e\88\81{t¬¢\98\8e\85\81wq¬¢\95\8e\85~wq¬¢\92\8e\85~wq©\9f\95\8b\85~wq©\9f\95\88\85~wq©\9f\92\8b\85{tq©\9f\92\8b\85{wm¥\9f\92\88\85{tm©\9f\92\88\81{tm¥\9f\92\88\85{tm¥\9c\92\88\81{tj©\9f\92\88\81{tm¢\9f\92\85\81{tm¢\9c\8e\88~{tm¢\9c\8e\85\81wtm¥\9c\92\85~wtm¥\9c\8e\85~wqj¢\9c\8e\88~wqm¢\98\8e\85~{qj¥\98\8e\85~{qj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wmj¢\98\8e\85~wqj\85{tjd]ZSMC<6//,"\1f\e\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\ 1\0\0\0\0\0\0\1f\18\ e\v\a\ 4\0\092,%\1f\e\18\15PF?92/,(]VPF?962g`VPFC<6jdZSPFC<mg]SPFC<mg]VPIC?mg]SPIF?tj`ZSPICtjd]VPICwmg`ZSPF{qjd]VPM~tjg]ZSP\81wmg`]VP\85{qjd]ZS\85{tjg`ZS\85~wmg`]V\88\81tqjd]Z\8b\81wqjg]Z\8b\85{qjg`Z\8e\85{tjj`]\8e\85{tmjd]\8e\85~tmjd]\92\88~wmjd`\92\88~wqjg]\95\88\81{qjd`\92\88\85wqjg`\95\8b\85{tjg`\95\8b\85{tmg`\98\8b\85{tmj`\98\8e\85{tqjd\98\8e\85{tmjd\9c\8e\85~tqjd\98\92\85~wqjd\9c\8e\85~wqjg\9f\92\85~wqjg\9c\92\85\81wqjd\9f\92\88~wtjg\9c\92\88\81wtjj\9c\92\88\81{qmg\9f\92\88\81wqmj\9f\92\88\81{tmg\9f\92\88\81{tmj\9f\92\88\81wtmj\9f\95\88\81{tmj\9f\92\88\85{tmj\9f\95\88\85{tmj\9f\95\88\85{tmj\9f\95\8b\81~tmj\9f\92\8b\85{tqj\9f\95\88\85{tmj\9f\95\8b\85{wmj\9f\95\8b\85~tmj\9f\95\8b\85~wqj¢\95\8b\85~tqj\9f\95\8b\85{tqj\9f\95\8b\85~wqj\9f\95\88\85{wqjÚÐƼ³¬¥³ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþøîäÝ×þñçÝÓÊƹëáÓÊÀ¹³¬ÝÓʼ¶¯¥\9fÚÍÀ¹¯©¢\9cÓÊÀ¶¬¥\9f\98ÓƼ¶¬¥\9f\95Óʼ¶¬¢\9f\95Óƹ³©¢\9f\92Ðƹ³©\9f\9c\92Íù¯¥\9f\95\8eʼ¶¬¢\9c\92\8bƹ³©\9f\95\92\88ƹ¯¥\9f\95\8b\85À¹¬¢\9c\92\8b\85¼¶©\9f\98\8e\88\81¹¯¥\9f\92\8b\88\81¹¯¢\9c\95\8e\85~¹¬¢\9c\92\8b\85~¶¬¢\98\8e\88\81w¶©\9f\98\8e\88\81w³©\9f\95\8e\85~w¯¥\9c\95\8b\85~w¯¥\9c\92\88\85{w¯¥\9c\92\88\85{t¬¢\9c\8e\88\81{t¬¢\98\8e\85\81{t¬¢\95\8e\85\81wt¬¢\98\8e\85\81wq¬\9f\95\8b\85~wq©\9f\95\8b\85~wq©\9f\95\8b\85{wm©\9f\92\88\85{wm©\9f\95\8b\81{wm¥\9f\92\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88\81{tj¥\9c\92\88\81{qm¥\9c\8e\88~{qj¢\9f\92\88\81wtj¥\9c\92\88\81{tj¥\9c\8e\85~wtj¥\9c\92\85~wqj¥\9c\8e\85~wtj¥\9c\8e\88~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85{wmj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\95\8e\85~tqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8b\85{wqj\85~tjg]ZSPF<62/("\1f\18\11\ e\a\ 4\0\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\11\v\ 4\ 4\0\092,("\e\18\15PF?92/,(]VPF?962g]VPFC<6j`]SMFC<jg]SPIC<md]VPMF?mg]ZPPF?qj`]SPICtjd]VPIFwmg]ZSPI{qjd]VSM~tmg`ZSP\81wmj`]VP\85{qj`]ZS\85{tjg`ZS\85~tmj`ZV\88\81wmj`]V\8b\81wmjd]Z\8b\85{qjg]Z\8e\85{tjg`Z\8e\85~tjj`]\8e\85{tmj`]\92\88~tmjg]\92\88\81wqjg]\92\88\81wqjgdÓƼ³©¢\9c¹ÿÿÿÿÿÿþøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçáÓÐôîá×Êƹ¶äÚÍƹ³¬¥×Ðù¯¬\9f\9cÓƹ³©¢\9c\95Ðƹ¯¥¢\9c\92Ðƹ¯¥\9f\98\92Ðƹ¯¥\9f\98\92Êù¯¥\9f\98\8eÊö¬¥\9f\95\8eƼ³¬\9f\9c\92\8bƼ¯©\9f\98\92\88ù¬¢\9f\95\8e\85¼¶©¢\95\92\8b\85¼³¥\9f\92\8e\85\85¹¯¥\9f\95\8b\85\81¹¯¢\9c\92\8b\85~¹¬¢\98\8e\88\81{¶©\9f\98\8e\88\81{³©\9f\95\8e\85~{¯©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85{t¬¢\9c\8e\85\81{t¬¥\95\8e\85\81{t¬¢\95\8e\85\81wt¬¢\95\8e\85\81wq\8e\85{tjg]ZSMC<62/,%\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\ 4\ 1\0\0\0\0\0%\1f\15\11\ e\a\ 4\ 1<6/,%\1f\e\18PF?96/,(]SMF?96/d]SMF?96j`VPFF?9j`ZPMF?<jdZSMFC<mg]VPMF?qj`VPMF?qj`ZSPICtmg]ZSPF{qj`ZVPI~tjd]ZPP~wmg`ZSP\81wqj`]VP\85{qjd`ZS\85{tmg`]S\88~tmgd]V\88\81wmjd]Z\88\85wqjd]Z\8e\85{tmg`]\8e\85{tmj`Z\92\85~tmj`]\92\85~wqjd]\92\88~wqjg`\92\88~{qjg`\92\88\81{qmj`\92\8b\81{tjgd\92\8b\81{qmg`\95\8b\85{tmjd\9c\8e\85{tmjd\98\8e\85{wmjg\98\8e\85{tqjd\98\8e\85{tmjd\9c\92\85~wqjg\9c\8e\85~wqjg\9f\92\85~wqjg\9c\92\88~wqmj\9c\92\85\81wqjg\9c\92\88\81wtjg\9f\92\88\81{tmg\9c\92\88\81{qmg\9f\92\88\81wtmg\9f\95\88\81{tmj\9f\92\88\81{qmj\9f\95\88\81{tmj\9f\92\88\81{tqj\9f\95\8b\81{tmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\95\8b\85{wmj\9f\98\88\85{wqj\9f\95\8b\85{tmj\9f\95\8b\85~tqj\9f\92\8b\85{tmj\9f\95\8b\85~tmj\9f\95\8b\85~wqj\9f\98\8b\85~wqwÚÐƹ³¯¢ÐÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîÿÿþôëäÝÓûñäÝÓÊüçÝÓÊÀ¹³©ÝÓƼ¶¯¥\9fÓÊÀ¹¯¥\9f\98ÓƼ¶¬¥\9f\95ÓƼ³¬¥\9f\95ÓƼ¶¬¥\9f\95ÓƼ³©¢\9f\92Ðƹ³©\9f\9c\92Íù¬¥\9f\98\92ÆÀ³¬¢\9c\92\8bƼ³¥\9f\9c\92\88ù¯¥\9f\92\8e\85À¶¬¢\9c\92\8b\85¼³©\9f\98\8e\88\81¹³¥\9f\92\8e\85~¹¯¢\9c\92\8e\85~¹¬¢\9c\8e\8b\85{¹¬\9f\95\8e\88\85{¶©\9f\95\8b\88\81w³©\9f\92\8b\85~w¯©\9f\92\8b\85~w¯©\9c\92\88\85~t¯¥\9c\92\88\85~w¬¢\9c\8e\88\85{t¯¢\9c\8e\88\81{q\8e\85~tmg`]SMF<92/,%\1f\18\11\ e\v\ 4\ 4\ 4\0\0\0\0\0\0\0\11\a\ 4\0\0\0\0\0(\1f\e\15\ e\v\a\ 4?6/,("\1f\eSMC<62/,]VPF?<96g]SPIC?9j`ZSMFC9jg]SPIC?mg]SPMC?mg]ZPMFCqj`ZSPIFwmd]VPMFwqg`ZSPI{qj`]VPM{wmg]ZSP\81wmj`]SP\85{qjd]ZS\85~tjg`ZS\85~tmg`ZS\88~wmj`]V\88\81wmjd]V\8b\85wqjg`Z\8e\85{qjg`]\8e\85{tjg`]\92\85~tmjd]\92\88~wmjg]\92\88~wmjd]\92\8b\81wqjgqÓƼ³©¢\9cÐÿÿÿÿÿÿûøÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿÿøîçÿÿûñçÝÓÍøîáÓÊƼ¶ä×Êƹ³¬¥×Íù¯©\9f\9cÓƼ³©¢\9c\95Ðƹ¯¥¢\9c\92Íù¯¥\9f\95\92Íù¯¥\9f\98\92Íù¬¥\9f\98\92ÊÀ¶¬¢\9f\95\8eƼ³©\9f\9c\92\8bù¯¥\9f\95\8e\88À¹¬¥\9f\95\8b\85¼¶©¢\9c\92\8b\85¹³¥\9f\98\8e\88\81¹³¥\9f\95\8b\85\81¹¬¢\9c\92\8b\85~¶¬\9f\98\8e\88\85~³¬\9f\95\92\88\81{³©\9f\92\8b\85~{³©\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85~w¬¢\9c\92\88\81{t¬¢\95\92\88\81{t¬¢\98\8e\88\81{t¬¢\98\8e\85\81wt\8e\85{tjg]ZSMC<62/,%\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\v\ 4\0\0\0\0\0%\1f\18\11\ e\a\ 4\ 4<6/,%"\1f\18SF?962,(]VMF?962g]SPFC<9j`ZSIF?<j`ZSMFC<jd]SPIC?mg]VPIF?mj`VSPFCtjd]SPICwmg]ZPPF{qj`]SPM~tjg]VSP\81wmg]]SP\81{qjd]VS\85{tjg`ZS\85~tjg`ZV\88~wmj`]V\88\81wqjd]Z\8b\85{qjg]Z\8e\85{tjg`]\8e\85{tmjd]\8e\85~tmjd]\92\88~tmjg]\92\88~wqjg`\92\88\81wqjg{Ðƹ¯©¢\9f×ÿÿÿÿÿÿûûÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøÿÿÿÿþûîçÿÿûñçáÓÍøîá×ÍƼ¶ä×Íƹ¶¬¥ÓÍÀ¹¯©\9f\9fÐƹ³©¢\9c\95Íƹ¯¥¢\98\92Ðù¯¥\9f\9c\92Íù¯¥\9f\98\92Íù¯¥\9f\95\8eÊÀ¹¬¢\9f\95\8eƼ³¬¢\9f\95\8bƼ¯©\9f\95\8e\88ù¬¥\9f\95\8e\88¼¶©¢\95\92\88\85¼³¥\9f\95\8e\85\81¹³¥\9f\92\8e\85~¹¯¢\9c\92\8b\85~¹¯\9f\9c\8e\88\81{¶¬\9f\98\8e\88\81{³©\9f\95\8b\88\81{¯¥\9f\92\8b\85~w¯¥\9f\92\88\85~w¯¥\9c\92\88\85~t¬¢\98\8e\88\81{t¬¢\98\8e\88\81wt©¢\98\8e\85\81wq¬\9f\95\8e\85\81wq\8e\85{tjg`ZSMC<62/,%\1f\e\15\ e\ e\v\ 4\ 4\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0("\1f\15\11\ e\a\a?92,("\1f\1fPIC<62/,]VPF?<62g]SPIC?9j]VPMF?<j`ZSMFC?mdZSMFC?mg]VPIC?qj`ZSMFCtj`ZSPMFwmg]ZSMI{qj`]VPM~tjd]VPP~wmg`ZSP\85{qj`]VS\85{tj`]ZS\85~tjg`ZS\88~tmg`]V\88\81wqj`]Z\8b\85wqjg]Z\8b\85{qmg]]\8e\85{tjjd]\8e\85{tmj`]\92\88~tqjd]\92\88\81tqjd`\92\88\81wqjg\85Óƹ³¬¢\9fäÿÿÿÿÿÿûþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿûøîçÿÿøîçáÓÐôîÝ×Êü¶á×Íù³¬¢ÓÍÀ¹¯©\9f\98Ðƹ³©¢\9c\95Íù¯¥\9f\9c\92Íù¯¥\9f\98\92Íù¬¥\9f\95\92Êö¬¢\9f\95\92ÊÀ¶¬¢\9f\92\8eƼ³©\9f\9c\92\8bù¬¥\9f\98\92\88ù¬¢\9c\92\8b\88À¶©\9f\98\92\88\85¹³©\9f\92\8e\88\81¹¯¥\9f\95\8b\85~¹¯¢\9c\92\8b\85~¶¬\9f\98\8e\88\85{³©\9f\98\8e\88\81{³©\9f\95\8b\85~w¯©\9c\95\88\85~w¯¥\9c\92\88\85{t¯¥\9c\92\88\81{t¯¥\9c\92\88\81{t¬¢\98\8e\85\81{t¬¢\98\8e\85\81wt©\9f\95\8b\85~wq\8e\85{tjg`ZSMC<62/,"\1f\18\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 1\0\0\0\0\0%\1f\18\11\ e\a\ 4\ 4<6/,%"\1f\1fPI?962/,]SMF?962d]SPF?<9j`ZPIF?9j`ZSPF?<jd]VPIC?mg]VPIFCqg`ZPPICtjd]VPMFwmg]ZSPI{tj`]SPP~qjg]ZSP\81wmj`]VS\85{qjd]ZS\85{tjg`]V\85~tmg`]Z\88\81wmjd]]\8b\81wqjd]Z\8e\85{qjg`]\8e\85{tmg`]\8e\85{tmj`]\92\88~wmj`]\92\88~wqjd`\92\88\81wqjg`\95\88\81wqmg\8eÓƼ¶©¥\9fîÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîçÿÿûñçÝ×Ðøîá×ÍƼ¶äÚÍù³¬¢×Ðù¯©\9f\9cÐƼ¶©¥\9c\98Ðƹ¯©¢\9c\92Ðƹ¯¥\9f\9c\92Ðƹ¯©\9f\9c\92Íù¯¥\9f\98\92Êù¬¥\9f\95\92ÊÀ³¬¢\9f\95\8eƼ³©\9f\9c\92\88ù¯¥\9f\95\8e\88À¹¬¢\9c\92\8b\85¼¶©\9f\95\8e\88\85¹¯¥\9f\92\8e\85\81¹¯¢\9f\92\8b\85~¶¬¢\98\92\88\85~¶¬\9f\98\8e\88\81{³¬\9f\98\8e\88\81{³©\9f\92\8b\85\81{³©\9f\92\8b\85~w¯¥\9f\92\8b\85~w¯¥\9c\8e\88\85~t¯¢\98\92\88\81{t¬¥\98\8e\88\85{t¬¢\98\8e\85\81wt\8e\85{tjj`]SPC?96/,("\e\11\ e\v\v\ 4\a\ 1\0\0\0\0\0\0\11\v\ 4\ 1\0\0\0\0(\1f\e\15\ e\v\a\v<6/,("\1f\1fSIC<6///]VPF?<66g]SPIC<<j`ZPMFC<m`]SPFC?jg]SPICCqj]VPMFCqj`ZSPIFtjd]VPMIwmg`ZSPM{tjd]VPP~tjg]ZSP\81wmg`ZSS\85{qj`]VV\85~tjg]ZV\85~tmg`]Z\88\81tmjd]]\8b\81wqjg`]\8b\85{qjg`]\8e\85{tmg``\8e\85~tmj``\8e\85~wmjd`\92\88~wqjdd\92\88\81wqjgd\95\88\81wqmg\98Óʹ³¬¥\9føÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîäÿÿûîçÝÓÍøëÝÓÊƹ¶áÚÍù³¬¥×ÍÀ¹¯©\9f\9cÐƼ³©¥\9f\95Ðƹ¯©\9f\9c\92Ðù¯¥¢\9c\92Íƹ¯¥\9f\9c\92Íƹ¯©\9f\98\92Êö¯¥\9f\95\92ÊÀ¶¬¢\9f\92\8eƹ³©¢\98\92\88ƹ¯¥\9f\95\8e\88À¶¬¢\9c\92\8b\85¼³©\9f\98\92\85\85¹³¥\9f\95\8e\85\81¹¯¢\9f\92\8e\85\81¹¯¢\9c\92\8b\85~¶¬\9f\98\8e\88\81~³¬\9f\98\8b\88~{³©\9f\95\8b\85~w¯©\9f\95\8b\85~w¯¥\9c\92\88\85~w¯¥\9c\92\88\85{t¯¥\9c\8e\88\85{t¬¢\98\8e\88\81{t¬¢\9c\8e\85\81{t¬¢\98\8e\85~wt©\9f\95\8b\85~wq©\9f\95\8e\85~wq©\9f\92\8b\85~wq¥\9f\92\8b\85~tq¥\9f\92\8b\85~wq¥\9f\92\8b\81{tq¥\9f\92\88\81{tq¥\9f\92\88\81{tm¥\9f\8e\88\81{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¢\9c\8e\85\81{qm¢\9c\8e\88~wtm¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\98\92\85~wqm¢\9c\8e\85~wqj¢\98\92\85~wtj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85{wqj¢\9c\8e\85~wqj¢\98\8b\85~wmj¢\98\8e\85~wmj¢\95\8b\85~wqj\9f\98\8e\85~wmj¢\95\8b\85{wmj¢\95\8b\85{tmj\9f\98\8e\85{wqj\85~qjg]VPMF<62/(%\1f\18\11\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\ 4\0\0\0\0\0\0\0\1f\18\ e\v\ 4\ 4\0\ e92,("\1f\18"PF?92/,/]VPF?<69g]SPIC<<j`ZSMICCmd]SPIFCmg]VPIFCmg]ZPMFFqj`ZSPFItj`]VPMMwqg`ZSPP{qj`]VSS~tmg]ZSV\81wmj`]VZ\85{qjd]ZZ\85{tjg`Z]\85~tmj`]]\88\81wqjd]`\8b\81{qjd``\8b\85{tjj``\8e\85{tmj`d\8e\85~tmj`g\8e\85~wmjdd\92\88\81wmjgj\92\88~wqjgg\92\88\81wqjg¥Ðƹ³¬¢\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûÿÿÿÿþûîëÿÿûñçáÓÐøîáÓÍƹ¶ä×Íù³¬¥×Íù¯©¢\9cÓƼ³©¢\9c\95Ðƹ³©\9f\9c\92Ðƹ¯©\9f\98\95Ðƹ¯©\9f\98\92Íù¯¥\9f\98\92Êö¬¥\9f\98\92Ƽ³¬¢\9c\95\8eƹ³©\9f\98\92\8bù¬¥\9f\95\8e\88À¶©¢\98\92\8b\85¼³©\9f\95\8e\88\85¹¯¥\9f\92\8e\85\81¹¯¥\9c\92\8b\85\81¹¬¢\98\8e\88\85~¶¬\9f\95\8e\88\81~³©\9f\95\8b\85\81{³©\9f\92\8b\85~{¯¥\9f\95\88\85~{¯¥\9c\92\88\85~w¯¥\98\92\88\81{w¬¢\98\8e\88\81{t¬¢\98\8e\85\81{t¬\9f\95\8e\85\81{q\8e\85{qjj`ZSMC<62/,%\1f\e\15\11\v\ 4\ 4\ 4\ 1\0\0\0\0\0\0\11\a\ 4\0\0\0\0\0%\1f\18\11\ e\a\ 4\e<6/,%"\1f,PI?962,6]VPF?96<d]VMIC<?j]VPIF?Cj`ZSMF?FjdZSPICFmg]VPMFImj`ZPMIMtj`]SPIPwmg]VSPS{qj`]SPS~tjg]VSZ~wmg`ZSZ\85wqj`]V]\85{qjd]Z`\85{tjg`Z`\88~tmj`]`\88\81wmjd]d\8b\85wqjg]g\8b\85{qmg`g\8e\85{tmj`j\8e\85~wmjdj\92\88~wqjgj\92\88~wqjgm\92\88\81wqjg¬Óƹ³¬¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþûÿÿÿÿþøîäÿÿøîäÝÓÍôîÝÓÊù¶á×Êù³¬¥ÓÊÀ¹¯©\9f\9cÐƹ³©¢\9f\95Íù¯©\9f\9c\95Ðù¯¥\9f\9c\95Ðù¯¥\9f\95\95Êù¯¥\9f\95\95ÊÀ¶¬¢\9f\95\92Ƽ³©¢\9c\92\8eƹ¯©\9f\9c\92\8eù¯¢\9f\92\8e\88À¶¬¢\98\92\8b\85¼³©\9f\95\8e\88\85¹¯¥\9f\95\8e\85\81¹¯¢\9c\92\8b\85\81¹¬\9f\98\8e\88\85~¶©\9f\98\8e\88\81~³©\9f\95\8e\88\81{³©\9f\92\8b\85~{¯©\9f\95\8b\85~w¯¥\9c\92\88\85{w¬¢\98\92\88\85{w¬¢\98\8e\85\81{w¬¢\98\8e\85~wt¬\9f\95\8b\85~{t\8e\85{qjg`ZSMC<62/,%\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\v\ 4\0\0\0\0\ 4(\1f\18\15\ e\v\a"<6/,%"\1f/PI?962,<]SPF?<6Cg]SPFC<Fj`ZPMC?Ij`ZSPFCIjd]SPIFMmg]VPMFPqj`ZSPIPtjd]VPMSwmg]ZSPZ{qj`]SP]~tmg]ZS]\81wmg`ZV`\81{qjd]Vd\85{qjg]Zg\85{tmg`Zg\85\81wmj`]j\88\81wqj`]j\8b\85wqjd`j\8b\85{tjg`m\8e\85{tmg`m\8e\85{tmjdm\92\85~tmjdq\8e\88~wqjdq\92\88\81wqjg¹Óƹ³©¢\9fÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿþøîëÿÿûñçÝÓÐøîáÓÊƼ¹ä×Íù³¬©×Êù¯©\9f\9fÐƼ³©¢\9f\98Ðù¯¥¢\9c\9cÍù¯¥\9f\98\9cÍù¬¥\9f\98\98Íù¯©\9f\98\95ÊÀ¶¬¥\9f\95\95Ƽ³¬\9f\9c\92\8eƹ¯¥\9f\98\92\8eù¬¢\9f\95\8e\8b¼³¬¢\98\92\88\88¹³©\9f\95\92\88\85¹¯¥\9c\95\8b\85\85¹¬¢\9c\92\88\85\85¶¬¢\98\8e\88\81\81³©\9f\95\8e\88\81~³©\9f\95\8e\85\81~³¥\9f\92\8b\85~~³¥\9c\92\88\85~{¯¥\9c\92\88\85{{¯¢\9c\92\88\85{w¬¢\9c\8e\88\81{w¬¢\95\8e\85~{w¬¢\95\8e\85\81wt\8e\85{qjg]ZSMC<62/,%\1f\15\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\0\11\a\ 1\0\0\0\0\v%\1f\18\11\ e\v\ 4(<6/,%\1f\1f6PF?96/,C]SPF?96Fg]SMF?<Mj]VPIF?Pj`ZSMFCPjdZSPICPmg]SPICSqj]VPMFZtj`]VPIZwmg]ZSP]{mj`ZVP`~tjd]VSd~tmg`ZSg\85wqjd]Vj\85{qjg]Zj\88~qmg`]j\85~wmgd]m\88\81wqjd]q\8b\81wqjg`t\8b\85{tmg`t\8b\85{tmj`t\8e\85~wmjdt\8e\85~tmjdw\8e\88~wmjgw\92\88\81wqjg¼Ðƹ³©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿþøîëÿÿûñçÝ×ÐôîÝÓÍƼ¹á×Êù³©¬×ÊÀ¹¬©\9f¢Ðƹ³©¢\9c\9fÐù¯©\9f\98\9fÍù¯¥\9f\98\9fÍù¯¥\9f\98\9fÍÀ¹¬¥\9f\98\9fÊÀ¶¬¢\9f\92\98Ƽ³©¢\9c\92\95ƹ¯¥\9f\95\8e\92ù¬¢\9c\95\8e\8e¼¶¬\9f\9c\92\8b\8e¼³©\9f\95\8e\88\88¹¯¢\9f\92\8b\85\88¹¬¢\9c\92\8b\85\85¶¬\9f\98\92\88\85\85³¬\9f\98\8e\88\81\85³©\9f\95\8e\85\81\81¯©\9f\92\8b\85~~¯¥\9f\92\88\85~~¯¥\9f\92\88\85~~¬¢\98\8e\88\85{{¬¢\98\8e\85\81{~©¢\95\8e\88\81{{¬\9f\95\8e\85\81{t\8e\85{tmg`]PMC<66/,(\1f\e\15\ e\v\v\ 4\a\ 1\0\0\0\0\0\0\15\ e\a\ 1\0\0\0\15("\1f\15\11\ e\v/<6/,(%\1f?PIC962/I]SPF?<6Pg]SMF?<Pj`VPMF?Sj`ZSMFCSjd]SPICVmg]VPMC]qj]ZPPF]tj`]SPIdwmg]VSPgwqg`ZSPj~tjd]ZPm~tmg]ZSm\81wmj`]Vm\85{tjd]Zq\85~tjg]Zt\88\81wmj`]t\88\81wmjd]w\8b\85wqjg]w\8b\85{qjg`{\8e\85~tjg`{\8e\85~tmj`~\92\85~wmjd~\92\88~wqjg\81\92\88~wqjgÆÓƹ³©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîëÿÿøîçá×ÓøëÝ×Êƹ¹ä×Êù³¬¬×ÊÀ¹¯©\9f¢Ðƹ¯©¢\9f¢Íù¯¥\9f\98¢Íù¯¥\9f\9c¢Íù¬¥\9f\98¢ÊÀ¹¬¥\9f\95\9fÊÀ¶¬¢\9f\95\9fƼ³©¢\98\92\9cù¯¥\9f\98\92\95À¹¬¢\9f\95\8e\95¼¶©\9f\9c\92\88\92¼³©\9f\95\8e\88\8e¹¯¥\9f\92\8e\85\8b¹¬¢\9c\92\88\85\8b¶¬¢\95\92\88\85\88³¬\9f\95\8e\85\81\88³©\9f\92\8b\85~\88³¥\9f\95\8b\85\81\85¯¥\9f\92\8b\85~\85¯¥\9c\92\88\85{\85¬¢\98\8e\88\81{\81¬¢\95\8b\85\81{\81¬¢\95\8e\85~w~¬\9f\95\8e\85\81wt\8e\85{tjg]ZPIC<62/,"\1f\15\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\0\ e\a\ 1\0\0\0\0\e%\1f\18\15\ e\a\ 46<6/,%"\1fFPF?96//P]VPF?96Sg]SPF?<Zj]VPMC?Zj`ZSMFCZjd]SPFC]mg]VPIF`qj`ZSPIdtj`]VPMjwmg]ZSPj{qj`]VPm{tjg]ZSq~wmj`ZSt\81wqj`]Zt\85{tjd]Zw\85~tjj`]{\85~wmj`]{\88~wqjd]~\8b\85{qjd`\81\8b\85{qjd]\81\8e\85{tmj`\85\8e\85{tmj`\85\8e\85{tmjd\85\92\88~wmjd\85\92\88~wqjgÐÐƹ³©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîîÿÿûîäÝÓÓôîÝ×Êù¼á×Êù³©¯×ÍÀ¹¯©\9f¬Ðƹ³©¢\9c¬Íù¯¥\9f\95©Íù¯¥\9f\98©Íù¬¥\9f\95©Íù¬¥\9f\98¥ÊÀ¶¬¢\9f\95¢Æ¼³©¢\9c\95\9fƹ¯¥\9f\98\92\9fù¬¢\9c\95\8b\9cÀ¶¬\9f\9c\92\88\98¼³¥\9f\95\8e\88\92¹¯¢\9c\92\8b\85\92¹¬¢\98\92\88\85\92¹¬¢\95\8e\88\81\8e³©\9f\95\8e\88\81\8e³¥\9f\92\8b\85~\8b¯¥\9f\95\88\85~\8b¯¥\9c\95\88\85~\88¬¢\9c\92\88\85~\88¬¢\9c\8e\88\81{\85¬¢\98\8e\88\81{\85©¢\98\8e\85\81{\85©\9f\95\8b\85\81wt\8b\85{tjg`ZSMC<62/,"\1f\15\11\v\a\ 4\ 1\ 4\0\0\0\0\0\0\ 1\ e\a\ 4\0\0\0\0\1f%\1f\18\11\ e\a\ 49<6/("\1f\1fMPF?96/,V]SMF?<6]d]SIFC<`j`VPIC?`j`ZPMF?`j`ZSPFCdmd]VPIFgmg`VPMFjtj`]SPImwmg]VSMq{qj`ZSPt{qjd]ZSw~tjg]ZS{\81wmj`]V~\85{qjd]Z~\85~tjg`Z\85\88~tmj`]\85\88\81tmjd]\85\8b\85wqjg`\85\8e\81{qjg`\88\8e\85{tjg`\88\8e\85~tmjd\88\8e\85{tmjd\8b\92\88~wmjg\8e\92\88\81wqjgÓÐƹ³©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþôîñÿÿøîäÚÓÓñçÝÓÊùÃá×Êù³¬¹ÓÊÀ¶¬©\9f³Ðƹ³©¢\9c³Íù¯¥\9f\98³Íù¯¥\9f\98³Íù¯¥\9f\98¯Íù¬¥\9f\98¯Ê¼¶¬¢\9f\95¬Æ¼³©¢\9c\92¬Æ¹¯©\9f\95\92¥Ã¹¬¢\9c\95\8e¢¼¶©\9f\9c\92\88\9f¹³©\9f\98\8e\88\9f¹¯¥\9c\95\8b\85\9c¶¬¢\9c\92\8b\85\98¶¬\9f\98\8e\88\85\98¶©\9f\95\8e\85\81\92³©\9f\95\8b\85~\95¯¥\9f\92\8b\85~\92¯¥\9c\92\8b\85~\92¯¢\98\92\88\81{\92¬¢\9c\8e\88\81{\8e¬¢\95\8e\85\81w\8e¬\9f\98\8e\85\81w\8e©\9f\95\8e\85~ww\8e\85{qjg`ZPIC<62/,"\1f\18\11\ e\a\ 4\ 1\ 4\0\0\0\0\0\0\a\11\a\ 4\0\0\0\0(%\1f\e\15\ e\v\a??6/,%"\1fSSI?962/]]SPF?<6`d]SPFC?dj`VPIF?gj`ZPPICgjdZVPICjjg]VPMFjqg]ZPPFqtj`ZVPMwwmg]VSPw{qj`]SP{{tjd]ZS~~wmg]ZS\81\81wmj`]V\85\85{qj`]Z\85\85{tmg]Z\88\85~tmg`]\88\88~tmjd]\8b\88\81wqjd]\8e\8b\85{qjg]\8e\8e\85{qjg`\92\8e\85~tmgd\8e\8e\85{tmjd\92\92\88{wmjd\95\92\88~wqjg×Ðƹ³©¢\9cÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîøÿÿûîçÝÓÚøëÝÓÊƹÆá×Êù³¬¼ÓÊù¬©\9f¹Ðƹ¯©¢\9c¹Íù¯¥\9f\95¹Íù¯¥\9f\95¹ÍÀ¹¬¥\9f\95¹ÍÀ¶¬¥\9f\95¶Ê¼¶©¢\9f\98³Æ¼³©\9f\9c\92¯Ã¹¯¥\9f\98\92¬Ã¶¬¢\9c\92\8e¥¼³©\9f\98\92\8b¥¹¯¥\9f\95\8e\85¢¹¯¢\9c\92\88\85¢¶¬¢\95\92\88\85\9f¶¬¢\98\8e\88\85\9f³©\9f\95\8e\88\81\9f³©\9f\92\8b\85\81\9f¯©\9f\92\8b\85~\9c³¥\9f\92\88\85~\9c¯¢\9c\92\88\81{\9c¯¢\9c\8e\88\81{\9c¬¢\98\8e\88\81{\98¬¢\95\8e\85\81w\95¬\9f\95\8b\85~w{\8b\85wtjg]ZPMC962/,"\1f\15\ e\v\a\ 4\ 1\ 4\0\0\0\0\0\0\ e\11\a\ 4\0\0\0\0,%\1f\18\11\ e\a\ 4C<6/,"\1f\1fVPF?96/,`]SIF?66jdZSMF?<jj`VPIF?jj`ZPIF?mj`ZPPFCqmg]SPICtmg]VPPFwqj`]SPM{wmg]ZSP~{qj`]SP\81~tjd]ZS\85~wmg`ZS\85\81wmj`]V\88\85wqjd]Z\88\85{tjg`]\8b\85~tmg`Z\8e\88~tmjd]\8e\88\81wqjg]\92\8b\85{qjg`\92\8e\85{qjj`\92\8e\85~tmj`\92\8e\85~tmjd\95\8e\85~wqjg\98\92\88~wqjgÚÐù³©¢\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿþøîþÿÿûîäÝÓáôîÝÓÍüÐá×Íù³¬ÆÓÍÀ¹¬©\9fÃÐƹ¯©\9f\9cÃÊù¯¥\9f\98ÃÍù¬¥\9f\95ÀÍù¯¥\9f\95ÀÊÀ¶¬¥\9f\95¼Ê¼³¬¢\9c\95¹Æ¼³©\9f\98\92¹Æ¹¯¥\9f\95\92¶Ã¶¬¢\9c\95\8b³¼¶©¢\98\8e\88¯¹³¥\9f\95\8e\88¬¹¯¢\9c\92\8e\85©¶¬¢\9c\92\8b\85¥¶¬\9f\95\92\88\81¥¶©\9f\95\8e\88\81¢³©\9f\92\8e\85~¢¯¥\9c\92\8b\85~\9f¯¥\9c\8e\8b\81{\9f¯¢\9c\92\88\85~\9f¬¢\98\8e\88\81{\9f¬\9f\95\8e\88\81{\9f¬¢\95\8e\85\81w\9f©\9f\95\8b\85~w~\8b\85{tjg]ZPIC<66/,(\1f\18\15\ e\v\a\a\a\ 1\0\0\0\0\0\15\11\v\ 4\ 1\0\0\0/(\1f\e\15\11\v\aF<6/,%"\1fZPI?962,d]SMF?96jg]SMF?<mj`VPMF?qj`ZPIF?tj`ZSMFCtjd]VPICwmg]VPMF{qj`]SPI~tmd]VPM\85wmg`ZVP\85~qjd]VS\88~tjg]ZS\88\81wmg`]V\8b\81{qj`]V\8e\85~qjg`Z\8e\85~wmg`]\92\88~tmj`]\92\8b\81wqjg]\95\88\81wqjg`\95\8b\85wtjg`\98\8e\85{tmjd\98\8e\85~tmj`\9c\92\85\81wmjd\9f\92\85~tqjd\9f\92\88~wqjg\9f\92\88\81{qjg\9f\95\8b\81{tmg\9f\92\8b\81{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8e\85{tqj¢\98\8e\85{wmj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqm¢\9c\92\85~wqj¢\9c\8e\85\81wtm¥\9c\92\85~wtm¥\9c\92\85~wtm¥\9c\92\88~wtm¥\9c\92\88\81{tm©\9c\92\88\81wqm©\9c\92\88\81{qm©\9c\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\81{tm©\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\8b\85wtm¬\9f\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\85{tm©\9f\92\8b\85{tm©\9f\92\8b\85{tm©\9f\95\88\85{tmäÚÐù³¬¥ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôîäÚñûîçÚÓÊÀáëÝÓÆÀ¶¯×ÚÓü³¬¢ÓÓƼ¶¬¥\9fÐÓƼ³¬¥\9fÓÓƹ³¬¢\9fÐÓƹ¯©¢\9fÐÐƹ¯©\9f\9cÊÍù¯¥\9f\98ÆÆÀ¶¬¢\9f\95ÆƼ³©\9f\9c\92Àù¬¥\9f\98\8e¼À¶¬¢\9c\92\8b¹¼³©\9f\98\92\88¹¹¯¥\9f\95\8e\85¶¹¬¢\9c\92\8e\85³¹¬\9f\9c\92\88\85³¶©¢\95\8e\88\81¯³©\9f\95\8e\85\81¯³©\9f\95\8b\88~¯¯¥\9c\92\88\85~¯¯¥\98\92\8b\85{¬¯¢\9c\92\88\85{¬¬¥\98\92\88\85{©¬¢\95\8e\88\81w©¬\9f\95\8e\85\81w\85\8b\85{qjg`ZSIC<62/,%\1f\15\11\v\a\ 4\ 4\ 4\0\0\0\0\0\0\18\ e\a\ 4\0\0\0\0/(\1f\18\11\ e\a\ 4I<6/,%"\1f]PF?96//j]SMF?96qd]SPFC?tj]VPIF?tj`ZSMF?wjd]SPFCwmg]VPIC~qg]ZPPF~tj`ZSPI\85wmg]VSM\85{qj`ZVP\88{tjd]ZS\8b~wmg`ZS\8e\81wqj`]V\8e\85{qjd`Z\92\85{tjg]]\92\88~wmj`]\95\88\81wqjd]\98\88\85wqjd]\95\8b\81{qjg`\98\8e\85{tmg`\9c\8e\85{tmj`\9f\8e\85{wmjd\9f\92\85~wmjd\9f\92\88~wmjgÝÐƹ³©\9f\9fÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîÿÿÿûîäáÓñôëÝÓÍƼáä×Êù³©×Óʼ¶¬©\9fÓÐƹ¯©¢\98ÓÍù¬¥\9f\95ÓÍÀ¹¬¥\9f\95ÓÊö¬¥\9f\98ÓÊÀ¹¬¥\9f\95Ðʼ³©¢\9c\95ÊƼ³©\9f\9c\92Æƹ¯¥\9f\95\8eÆÀ¶¬¢\9f\92\8eÃÀ¶©\9f\95\8e\88À¼³¥\9f\95\8e\88¼¹¯¥\9c\92\8b\85¹¹¬¢\9c\92\88\85¹¶©\9f\95\8e\88\81¹³©\9f\92\8b\85\81¶³©\9f\92\8b\85~³³¥\9f\92\8b\85~³¯¢\9c\92\88\85~³¬¢\9c\92\88\81{¯¯¢\95\8e\88\81{¯¬\9f\95\8e\88~{¯©\9f\95\8b\85~w¬©\9f\95\8b\85~w¬©\9f\92\8b\85~w¬¥\9f\92\88\85{w¬¥\9f\92\8b\81{t©¥\9f\92\88\81~t©¥\9c\92\88\81{q©¥\9c\8e\85\81{q©¥\9c\92\88\81wt©¢\9c\8e\85~wt©¢\9c\8e\85\81wq¥¢\98\8e\85~wq©¢\98\8e\85\81wq¥¢\98\8e\85~wt¥¢\98\8e\85~wq¥¢\95\8e\85~wq¥¢\98\8b\85~tm¥¢\95\8b\85~wq¥\9f\95\8e\85~tq¥¢\95\8b\85~wm¥¢\98\8b\85~wm¥\9f\98\8b\85{wq¥¢\95\8b\85{wm¥\9f\95\8b\85{tm¥\9f\95\8b\81{tm¥\9f\95\8b\85{tm¥\9f\95\8b\81{tm¢\9f\95\8b\85{tm¢\9f\92\8b\85{tm¢\9f\95\8b\85{wm¢\9f\95\8b\85{tm¢\9f\95\8b\85{tm¢\9f\95\8b\81{tm¥\9f\92\8b\81{tm\81\85{qjd]VPIC96//("\1f\18\11\v\a\ 4\ 1\0\0\0\0\0\0\0\0\ e\ 4\ 1\0\0\0\0\0,\1f\18\11\v\a\ 4\ 1C62,("\1f\eZPF?66/,j]VPF?<6qg]SPFC<tj`VSPFCwjdZSPICwjd]SPIC{jg]SPMF~qj`ZSPF~qj`ZSPI\85tjg]VSP\85wmg`ZSP\88{qj`]VP\88~tmg]ZS\8e\81wmj`]S\92\85{qjd]V\92\85{tjg`Z\92\85~tjg`Z\98\88~tmj`]\98\88\81wmjd]\9c\88\81{qjg]\9c\8b\85{qjg`\9c\8e\85{tmj`\9f\8e\85{tmj`\9f\8e\85~tmjd\9f\92\85~wmjd\9f\92\88~wqjd\9f\92\88~wqjg¢\92\88\81{qjg¢\95\8b\81{tjg¢\95\8b\85{tmj¢\95\8b\85{tmj¥\98\8b\85{tmj¥\95\8e\85{tmj¥\95\8e\85~tmj¥\95\8e\85{tqj¥\98\8e\85~tqj¥\9c\8e\85~wqj¥\9c\8e\85~wqj¥\98\8e\85~wqj©\9c\8e\85\81wqm©\9c\8e\85~wqm¥\9f\92\85~wtj©\9c\92\85\81wtm¥\98\8e\88\81{tm©\9c\92\88\81wtm©\9c\92\88\81{tm©\9c\92\88\81{tm©\9c\92\88\81{tm¬\9f\92\88\81{tm©\9c\92\88\81{tm¬\9f\92\88\81{tm©\9f\92\8b\81{tm¬\9f\92\88\85{tm¬\9c\92\88\81{tm¬\9f\92\88\81{tm¬\9f\92\88\85{tm¬\9f\92\8b\81{tmçÚÊù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþøîäÚÿûîçÚÐÆÀñçÝÐƼ¹¯çÚÐƹ³¬¥äÓʼ¶¬¥\9fÝÓƼ¶¬¥\9cÝÐƹ³©¢\9cÝÐƹ³¬¢\98ÝÐƹ³©\9f\9cÚÍù¬¥\9f\98×ÊÀ¶¬¢\9f\92ÓƼ³©\9f\98\92Ðù¬¥\9f\95\8eÐÀ¶¬¢\9c\92\8bʼ³©\9f\98\92\88ƹ³¥\9f\95\8e\88ƹ¬¢\9c\92\8b\85ù¬\9f\98\92\88\85À¶¬\9f\98\8e\88\81À³©\9f\92\8b\85~¼¯¥\9f\92\8b\85~¹¯¥\9f\92\8b\85~¹¯¢\9c\92\88\85~¹¬¢\95\8e\88\85{¹¬¢\98\8e\88\81{¹©\9f\98\8e\85\81w¹¬¢\95\8b\85\81w¹©\9f\95\8b\85~w¶©\9f\95\8b\85~w¶¥\9f\92\88\81{t¶¥\9f\92\8b\81{w¶¥\9f\92\88\81{t³¥\9c\8e\88\81{t³¥\9c\92\88\81{t³¥\9c\8e\85\81wq³¥\9c\8e\85\81wq³¢\98\8e\85\81{q¯¢\98\8e\85~wq¯¢\9c\8e\85~wq¯¢\98\8e\85{wq¯¢\98\8b\85~wq¯¢\98\8e\85{wq¬¢\95\8b\85{wm¯\9f\98\88\85~tm¯¢\95\8b\85~wq¯\9f\95\8b\85{tq¬\9f\95\8b\85{wm¬\9f\95\8b\85{wm¬\9f\95\8b\85{tm¬\9f\95\8b\85{wm¬\9f\95\8b\85{tm¬\9f\95\8b\85~tm¬\9f\95\8b\85{tm¬\9f\92\88\85{tm¬\9f\95\8b\85{tm¬\9f\92\88\85{tm¬\9f\92\8b\85{tm¬\9f\95\88\85{tm©\9f\92\8b\81{tm\88\85wqj`]VPMC<62/(%\1f\18\15\ e\v\a\ 4\ 4\0\0\0\0\0\0\0\11\a\ 1\0\0\0\0\0(\1f\18\11\v\ 4\ 4\ 1?6/,%"\1f\18ZPF<66/,g]PMF?96qdZSMF?<tj`VPIC?wj`VPIF?wj`ZSPFCwmd]VPIC{mg]VPMF~qj`ZSPI\81tmd]VPM\85wqg]ZSP\88{qj`]VP\88~tjg]ZS\8e\81wmj`]S\8e\81wmjd]V\8e\85{qjg]Z\92\85~tjg`]\95\88~tmj`]\95\88\81wmjd]\98\88\81wqjj]\9c\8b\81{qjg`\9c\8b\85{tjj`\9f\8e\85{tmjd\9f\8e\85~tmjd\9f\92\85~tqjdÚÐù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûøîÿÿþøîáÝÓþñçÚÓÆùîÝÓÊÀ¶¯©äÓƼ¶¬¥\9fÝÍù¯©\9f\9cÚÊö¬¥\9f\95ÚÊÀ¶¯¥\9f\95×ÆÀ¶¬¥\9f\95ÚÊÀ¶¬¢\9f\95×Ƽ¶¬¢\9f\92Óƹ³©\9f\98\92Óù¯¥\9f\95\8eÐÀ¶¬¢\9c\92\8bͼ³©\9f\98\8e\88ƹ¯¥\9f\95\8e\85ƹ¬¥\9c\92\8b\85ƶ¬¢\9c\92\88\85ó©\9f\98\8e\88\81À³©\9f\92\8b\85~À¯¥\9f\92\8b\85~À¯¥\9c\92\8b\85~¼¯¢\9c\92\88\85~¼¬¢\98\8e\88\81{¹¬\9f\95\8e\85\81w¹¬\9f\98\8b\85~w¹©\9f\95\8b\85\81w¹©\9f\92\8b\85~w\95\8b\81wqjd]ZPF?962/,"\1f\15\11\v\a\ 4\a\ 4\0\0\0\0\0\0\e\ e\a\ 1\0\0\0\0/"\1f\18\11\ e\a\ 4F<6/,%\1f\eZPF?92/,g]SMC<96mdZSPF?<qj]SPIF?tj`VPMF?tj`ZSMFCwmd]SPIC{mg]ZPMF~qj`ZSPI\81tjg]ZPP\85wmg`]SP\85{qjd]VS\88~tmg]ZS\8b\81wmj`]V\8e\85wqjd]V\92\85{qmg`Z\92\85{tjg`Z\95\88~tmgd]\95\88~wmjd]\95\88\85wmjg]\98\8b\85{tjg`\98\8b\85{qjg`\9c\8b\85{tmj`\9c\92\85~wmjd\9f\8e\85~wmjd\9f\92\85~wmjd\9f\92\85~wqjg\9f\92\88\81wqjg\9f\92\8b\81wqjg¢\95\8b\85{tmj¢\95\8b\81{tmj¢\95\8e\85{tmg¢\95\8b\85{tmj¢\98\8b\85{tmj¢\95\8e\85~wmj¥\95\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85~tqj¥\98\8e\88~wqj¥\9c\92\85~wqm¥\9c\92\85~wqj¥\9c\8e\85~wqm©\9c\92\88\81wqm¥\9c\8e\88~wqj¥\98\8e\88~{tm¥\9c\92\85\81wtj¥\9c\92\88\81{tj©\9c\92\88\81{tm©\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81{tm©\9f\92\88\81{tm¥\9c\92\88\81{tm©\9f\92\88\85{tm©\9f\92\88\85{tm©\9f\92\88\81{tmäÚÐù³¬¢ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþôÿÿÿþôîäÚÿûîäÚÐÊÀøçÚÐƼ¹¯ëÚÐù³¬¥áÓƼ¶¬¥\9fáÓƹ³©¢\9fáÐƼ³©¢\9cáÐƹ¯©¢\9cÝÊù¯¥¢\9cÝÊÀ¹¬¥\9f\95ÚÊÀ³©¢\9f\92Óƹ³©\9f\9c\92Óù¬¥\9f\95\8e충\9f\9c\92\8bͼ³©\9f\95\8e\88ʹ¯¥\9f\95\8e\85ƹ¬¢\9f\92\8b\85ƶ¬\9f\9c\92\88\85ö©\9f\95\8e\85\81À³©\9f\92\8e\85\81ï¥\9f\92\8b\85~¼¯¥\9c\92\88\85~¼¯¢\9c\92\88\85~¼¬¢\98\8e\88\81{¹¬¢\95\8e\88\81w¹¬\9f\95\8b\85\81{¹¬\9f\95\8b\85~w\9f\8b\85{qmg]ZSIC<66//(\1f\18\15\ e\v\v\ e\ 4\ 1\0\0\0\0\0\e\11\v\ 4\ 1\0\0\0/(\1f\e\11\ e\v\aF<6/,%"\1fZPI?962,g]SMF?<6mdZSMF?9qg]VPIC?tj`VPMF?tj`ZSMICwjdZSPICwmg]VPMF~qj`ZSPI\81tjd]VPM\85wmg`ZSP\85{qj`]VP\88~tjg]ZS\88\81wmg`]S\8b\85wqj`]Z\8e\85{qjd]Z\92\85{tjg`Z\92\85~tmgdZ\92\88\81wmjd]\95\88\81wqjg]\95\8b\85wqjg`\98\8b\85{tjg`\9c\8e\85{tmjd\9c\8e\85{tmjd\9f\92\88~tmjdÚÍù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûôîÿÿþøîáÚÓÿñçÝÓÆùîÝÓÊÀ¹¯©äÓÆÀ¶¯¥\9fÝÍù¯¥\9f\98ÚÍÀ¶¬¥\9f\98×ʼ¶¬¥\9f\98×ÊÀ¶¬¥\9f\98×ÊÀ¶¬¥\9c\95×ʼ¶©¢\9c\92Óƹ³©\9f\98\92Óù¯¥\9f\95\8eÐÀ¶©\9f\9c\92\8bʼ³©\9f\98\8e\88ƹ¯¥\9f\95\8e\85ƹ¯¢\98\92\8b\85ù©¢\95\8e\88\85ó©\9f\92\8e\85\81À³¥\9f\92\8b\85\81¼³¢\9f\92\8b\85~¼¯¥\9f\8e\88\81{¼¯¢\9c\8e\88\81{¹¯\9f\98\8e\88\81{¹¬\9f\98\8b\88~{¹¬\9f\95\8b\85~{¹©\9f\95\88\85~w¶©\9f\92\8b\85{w¶©\9f\92\88\85{t¶¥\9f\92\88\81{t¶¥\9f\92\88\85{t¶¥\9c\8e\88\81{t³¥\9c\92\88\81{t³¥\98\92\85\81wt³¢\98\8e\85\81wt³¢\98\8e\85~wq¯¢\98\92\85~wq¯¢\98\8e\85~wq¯¢\98\8e\85~wq¯\9f\98\8e\85~wq¯\9f\95\8b\85~wq¯¢\95\8b\85~wq¯¢\95\8b\85{wq¯\9f\95\8b\85~tq¬\9f\92\8b\85{tq¬\9f\95\8b\81{tq¬\9f\92\8b\85~tm¬\9f\92\88\85{tm¬\9f\92\8b\85{tm¬\9f\92\88\85{tm¯\9f\92\88\81{tm¬\9f\95\8b\85{tm¬\9f\92\88\85{tm¬\9f\95\8b\81{wm¬\9f\95\88\81{tm¬\9f\92\8b\81{tm¬\9f\95\88~{tm¬\9f\92\88~{tm¬\9f\95\88\81{tm¬\9f\92\88\81{tm\92\81wqj`ZSPI?96/,(%\1f\15\ e\v\ 4\ 4\0\ 1\0\0\0\0\0\0\0\v\ 4\0\0\0\0\0\0"\1f\15\ e\a\ 4\ 1\0<6/,%\1f\e\15VMC<62/,dZSMC?96mdZSMF?<qg]VPIC?qj`ZPMFCtjdZSMICtjdZSPICwmg]VPMF{qg`ZSPI~tjd]VPM\81tmg`ZSP\85{qjd]VP\88{tmg]ZS\88\81wmj`]V\8b\81wqj`]Z\8b\85{tjg]Z\8e\85~tjg`]\8e\88~tmj`]\92\88~tqjd]\95\88\81wmjg]\95\8b\85wqjg`\98\8b\85{qmg`\98\8e\85{tmg`\98\8e\85{tmjd\9c\92\85~tmjdÚÐù¯©¢\9cÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþÿÿÿÿÿûøîÿÿÿøîäÚÓÿñçÝÓÊùîáÓÊÀ¹³©áÓƼ¶¯¥\9fÚÍù¯©¢\98ÚÊÀ¹¬¥\9f\98ÚÍÀ¶¬¥\9f\98×ʼ¹¬¥\9f\95×ÊÀ¹¬¢\9c\95ÓƼ³©¢\9c\92Óƹ¯©\9f\98\92Ðù¬¢\9f\95\8eÍÀ¶¬¢\9c\92\8bʼ³©\9f\98\92\88ƹ¯¥\9f\95\8e\85ù¯¢\9c\92\8b\85ö©¢\98\8e\88\81ó©\9f\95\8e\85\81¼³©\9f\92\8b\85\81¼¯¥\9f\92\8b\85~¹¯¢\9c\92\8b\85~¹¯¢\98\8e\88\81{¹¬¢\9c\8e\88~{¹¬\9f\95\8e\85\81{¹¬\9f\98\8e\85~w¶©\9f\95\8b\85~w¶©\9f\92\8b\85~w\9c\8b\81wqjg]ZPIC<66/,%\1f\18\11\11\v\a\v\a\ 1\0\0\0\0\0\15\ e\a\ 4\0\0\0\0,%\1f\15\11\ e\v\ 4C<6/,%\1f\eVPF?92/,d]PMC?<6j`ZPMF?9mg]SPIC?qg]VPIF?qj`ZPPF?tjd]SPICwmg]SPICwmj`VSPI~tj`]SPM\81wmg]VSP\85{qj`]SP\85{tjg]ZS\88~wmg`]S\88\81wmj`]V\8b\85{qjd]Z\8e\85{tjg]Z\8e\85~tmg`]\92\85~wmj`]\92\88\81wqjd`\95\8b\85wqjg`\98\8b\85{tmg`\95\8e\85{tmjd\9c\8e\85{tmgd\98\92\85~tmjdÚÐù¯©\9f\98ÿÿÿÿÿÿÿûÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþþþþþþþþþþþþþþþþþþþþþþ
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.low.gold b/fpga/tests/tb_data/pcf7931_read_1MSA_data.low.gold
new file mode 100644 (file)
index 0000000..caae1f6
--- /dev/null
@@ -0,0 +1 @@
+¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyywwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyywwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyywwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyywwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80\80vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7frrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssswwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyywwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7fuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyywwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwww\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7f\7fvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81\81vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyywwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwwyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyy
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.lowz.gold b/fpga/tests/tb_data/pcf7931_read_1MSA_data.lowz.gold
new file mode 100644 (file)
index 0000000..94298da
--- /dev/null
@@ -0,0 +1 @@
+\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°°\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\87\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\92\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8e\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8c\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8b\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d\8d
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.max.gold b/fpga/tests/tb_data/pcf7931_read_1MSA_data.max.gold
new file mode 100644 (file)
index 0000000..4dff9d1
Binary files /dev/null and b/fpga/tests/tb_data/pcf7931_read_1MSA_data.max.gold differ
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.min.gold b/fpga/tests/tb_data/pcf7931_read_1MSA_data.min.gold
new file mode 100644 (file)
index 0000000..8869321
--- /dev/null
@@ -0,0 +1 @@
+ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMM[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[MMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOONNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPP
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.state.gold b/fpga/tests/tb_data/pcf7931_read_1MSA_data.state.gold
new file mode 100644 (file)
index 0000000..bb35a51
Binary files /dev/null and b/fpga/tests/tb_data/pcf7931_read_1MSA_data.state.gold differ
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.time b/fpga/tests/tb_data/pcf7931_read_1MSA_data.time
new file mode 100644 (file)
index 0000000..9e17e2a
Binary files /dev/null and b/fpga/tests/tb_data/pcf7931_read_1MSA_data.time differ
diff --git a/fpga/tests/tb_data/pcf7931_read_1MSA_data.toggle.gold b/fpga/tests/tb_data/pcf7931_read_1MSA_data.toggle.gold
new file mode 100644 (file)
index 0000000..98432c4
Binary files /dev/null and b/fpga/tests/tb_data/pcf7931_read_1MSA_data.toggle.gold differ
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.filtered.gold b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.filtered.gold
new file mode 100644 (file)
index 0000000..0bc0fc9
--- /dev/null
@@ -0,0 +1 @@
+\ 1\ 3\a\v\11\17\1d#*29@FMSY^dinrvz}\80\83\86\88\8a\8c\8d\8f\90\91\92\93\94\95\95\95\96\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f              \9f\9f      \9f               \9f                                                          ¡¡¢¢¢£¥§©«®°³µ¸»¿ÂÅÈÌÏÒÔ×ÚÜÞàâãåæçèèèèèèèèçæåäâáàßÝÜÚØÖÕÓÒÐÎÍËÉÈÆÅÄÂÁÀ¿¾½¼»º¹¸··¶¶¶µ´´´³³³³³³²²²²²²²²²²²²³³³²²²³³³³³³²²²³³³³²²²²²²²²±±±±±±±°°°°¯¯¯¯¯¯®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬«««ªªªªªªª©©©©©©©©¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§§¦§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¥¦¦¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡     ¡¡¡     ¡¡                                                                           \9f     \9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9d\9d\9c\9b\9a\99\97\96\94\92\91\8f\8c\8a\88\85\83\81~|ywurpnmkigfdccbaa````aabbbcdeghijklnoqrtuvwyz|}~\7f\80\81\83\84\85\86\87\88\88\89\89\8a\8b\8c\8c\8c\8d\8d\8d\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\91\91\90\90\91\91\91\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9e\9e\9e\9f\9f ¡£¦¨«­°²µ¹¼¿ÃÆÉÌÏÒÕØÚÜÞàáãäåææææææååäãâáàÞÝÜÚÙ×ÕÔÒÑÏÍÌÊÈÇÅÄÃÁÀ¾½¼»º¹¹¸·¶µµ´´³³²²²²±±±±±±±±±±±±±±±±±²²²±±±²²²²²²²²²²²²²²²±²²²²±±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®­­­­­­¬¬¬¬««¬¬««««ªª«ªªªª©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¥¥¥¥¥¥¥¥¥¥¥¤¤¤¤¤¤¤££¢¢¡ \9f\9e\9c\9b\99\98\96\94\91\8f\8c\8a\87\85\82\80}zxusqomkjhgfeddccbbbccdeefghijlmnoprsuvwyz{|}\7f\80\81\82\83\84\85\86\87\88\88\89\89\8a\8a\8b\8c\8c\8d\8d\8d\8d\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\91\91\91\90\90\91\91\91\91\91\91\91\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9e\9e\9f\9f\9f ¢¤¦©«®°³¶¹¼ÀÃÆÊÍÐÓÕØÛÝßàâãåææççççççæååãâáàßÝÜÚÙ×ÕÔÒÐÏÍËÉÈÇÅÄÃÁÀ¾½¼»»º¹¸·¶¶¶µµ´´³³³³³³²²²²²²²²²²²²²²²²²²²²²³³³³³²²²³³³²²²²²²²²²²±±±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªªªªªª©©©©©©©©©¨¨¨¨¨©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¤¤£¢¡ \9f\9e\9c\9a\99\97\95\92\90\8d\8b\88\86\83\80~{xvsqonljhgfeeddcccccddeffghiklmnoqrtuvxyz{}~\7f\81\82\83\84\84\85\86\87\88\89\8a\8a\8a\8b\8c\8c\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\91\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9e\9e\9f\9f\9f  ¡¢¤§©«®°³¶¹¼ÀÃÆÉÌÏÒÕØÚÜÞàâãåææççççççææåãâáàßÝÜÚÙ×ÕÔÒÑÏÎÌÊÉÇÆÅÃÂÀ¿¾½¼»º¹¸··¶¶¶µµ´´³³³³³³²²²²³³³³²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³²²²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬««««ªªªªªªª©©©©ª©©©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§¨¨¨§§§§§§§§§§¦¦§§§§§¦¦¦¦¦¦¦¦¥¥¤££¢¡\9f\9e\9c\9b\99\97\95\92\90\8d\8b\88\86\83\80~{xvtrpnlkihgfeeddccddeeffghijlmnopqstvwyz{|}\7f\80\81\82\83\84\85\86\87\88\89\8a\8a\8b\8b\8c\8c\8d\8d\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\90\90\90\90\90\91\91\91\91\90\90\90\91\91\91\91\91\91\90\91\91\91\91\91\91\91\91\92\92\92\92\93\92\92\92\93\93\93\93\94\93\93\93\94\94\94\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\99\99\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9f\9f\9f  ¢¤¦©«®°³¶¹¼ÀÃÆÉÌÐÒÕØÚÜÞàâãåæçççççççææåäãâàßÞÜÛÙ×ÖÔÓÑÐÎÌÊÉÈÆÅÄÂÁ¿¾½½¼»º¹¸···¶¶µµ´´´´´´³³³³³³³³³³³³³³³³³³³³³³´´³³³³³³´³³³³³³³³³³²²²²²²²²±±±±±±±°°°°°°°¯¯¯®®®¯®®®®®­®®®­­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªªªªªªªªªªªªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨¨§§§§§§§§§§¦¦¦§§¦¦¦¥¥¤£¢¡ \9e\9d\9b\99\97\95\92\90\8d\8b\88\85\83\80}{xvsqomljhgfeeddccccddeeffghjklmopqrtuwxyz|}~\80\81\82\83\84\85\86\87\88\89\89\8a\8b\8b\8c\8c\8d\8e\8e\8e\8e\8e\8f\8f\90\90\90\90\90\90\90\90\90\91\91\90\90\90\90\91\91\91\91\91\90\90\91\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9f\9f\9f ¡¢¤§©¬®±³¶¹½ÀÃÇÊÍÐÓÕØÛÝßàâäåæççççççççæåäãâáàÞÝÛÚØÖÕÓÒÐÎÌËÉÈÇÅÄÂÁÀ¿¾½¼»º¹¸¸··¶¶µµ´´´´´´´³³³³³´´³³³³´´´´´´´´´´´´´´´´´´´´´´³´´´´´³³³³³³³³²²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªª©ªªªªª©©©©©©©©©©¨©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¤£¢¡ \9e\9d\9b\99\97\95\92\90\8d\8b\88\85\83\80~{xvtrpnljigfeeddccccddeffghijkmnopqstvwyz{|~\7f\80\81\82\83\84\85\86\87\88\89\8a\8a\8b\8b\8c\8d\8d\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\92\92\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f    ¡¢£¥¨ª­¯±´·º½ÀÄÇÊÍÐÓÖØÛÝßàâãåææçççççççæåäãâáàÞÝÛÚØÖÕÔÒÐÏÍËÊÈÇÆÅÃÂÁÀ¿¾½¼»º¹¹¸¸··¶¶µµµµµµµ´´´´´´´´´´´´µµµµµ´µµµµµµµµµµµµµµµµµµµµµ´´´´´´´´³³³²²²²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬««««««««««««««ªªªªªªªªª©©©©ªªª©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨§§§¦¦¥¤£¢¡\9f\9e\9c\9a\98\96\93\91\8e\8c\89\86\84\81~|ywtrpnmkihgffeddddddeffgghiklmnoprstvwyz{|~\7f\80\82\83\84\84\85\86\87\88\89\8a\8b\8b\8c\8c\8d\8e\8e\8f\8f\8f\8f\90\90\90\91\91\91\90\91\91\91\91\91\91\91\91\91\91\91\92\92\92\91\91\91\91\92\92\92\92\92\92\92\92\92\92\93\93\93\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\97\96\96\96\97\97\97\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f   ¡£¥§ª¬¯±´·º½ÀÄÇÊÍÐÓÖØÛÝßáâäåæççèèèèèçææäãâáàßÞÜÚÙ×ÖÔÓÑÏÍÌÊÉÈÆÅÄÂÁÀ¿¾½¼»ºº¹¹¸¸··¶¶µµµµµµ´´´´µµµ´´´´´µµµµ´´µµµµµµµµµµµµµµµ´µµµµµ´´´´´´´´³³³³³³³²²±±±±±±±°°°°°°°¯¯¯®®®®®®®®­­­­­­­¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬«««««««««««««««ªªªªªªªªªªªªªªªªªª©ªªªªª©©©©©©©©©¨¨¨©©©©¨¨¨¨¨¨¨¨§§¦¦¥¤£¢¡\9f\9e\9c\9a\98\96\93\91\8e\8b\89\86\84\81~{yvtrpnmkihgfeeddcccddeeffghiklmnoprsuvwyz{|~\7f\81\82\83\84\84\86\87\88\89\89\8a\8a\8b\8c\8d\8d\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f   ¡¡£¥§ª¬¯±´·º½ÀÄÇÊÍÐÓÖØÛÝßáâäåæççèèèèèççæåäãâáßÞÜÛÙØÖÕÓÒÐÎÌËÊÉÇÆÄÃÁÀÀ¿¾½¼»ºº¹¹¸¸·¶¶¶¶¶¶¶µµµµµµµµµµµµµµµµµµµµµµ¶¶µµµµµµµµµµµµµµµµ´´´´´´´´´³³³³³³²²²±±±±±±±°°°°°°°°¯¯¯¯¯¯¯¯¯®®®¯¯®®®­­­­­­­­¬¬¬­­¬¬¬¬¬¬¬¬¬«««««««««ªªªª«««ªªªªªªªªª©©©ªªªª©©©©©©©©©¨¨¨¨©©©¨¨¨¨¨¨¨¨§§¦¦¥¤£¢¡\9f\9e\9c\9a\98\96\93\91\8e\8c\89\87\84\81\7f|ywusqomljihgffeeedeeffgghijklmnoprstvwyz{|}~\80\81\82\83\84\85\86\87\88\89\89\8a\8a\8b\8c\8c\8d\8d\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\91\91\91\91\90\90\91\91\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\93\94\94\94\93\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f       \9f                                   ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢££¢¢¢¢££¤¤¤¤¥§©«­°²´·º½ÀÃÆÉÌÏÒÕØÚÜÞàâäåæçèéééééééèèçæåäãâàÞÝÛÚØ×ÕÔÒÐÏÍÌËÉÈÆÅÃÂÁÁÀ¿¾½¼»»ºº¹¸¸······¶¶µ¶¶¶¶¶¶µµµ¶¶¶¶¶¶µ¶¶¶¶¶¶¶µ¶¶¶¶¶¶µµµµµµµµ´´´´´´´´³³³³³³³²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬««¬¬¬¬«««««««««ªªªª««ªªªªªªªªªª©©©ªªªª©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§¨§§§§§§§§§§¦¦¦¦§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¤¤¤¥¥¥¥¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤£££¤¤¤¤¤££¤¤¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££££££££££££££££££££££££££££££££££££££££££££££££££££££¢¢¡¡ \9f\9e\9d\9b\9a\98\96\94\92\90\8e\8b\89\86\84\81\7f|zwusqomkihfedccbaaaaabbbccdefgijklmnprstvwxz{}~\7f\80\81\82\83\85\86\87\88\88\89\8a\8a\8b\8c\8d\8d\8d\8d\8e\8e\8f\8f\8f\90\90\90\90\90\90\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\91\92\92\91\91\91\91\92\92\92\92\92\92\92\93\93\93\93\94\94\93\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f                           ¡              ¡¡¡      ¡       ¡¡    ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¢¢££££¤¦§ª¬¯±³¶¹¼¿ÂÅÈËÎÑÔ×ÙÜÞàâãåæçèèèèèèèèççæåäãâàßÝÜÚÙ×ÖÔÓÑÏÍÌËÊÈÇÅÄÃÂÁÀ¿¾½¼»ºº¹¹¸¸··¶¶¶¶¶µµµµµµµµµµµµµµµµµµµµµµ¶¶µµµµµµµµµµµµµµµµµ´´´´´´´´³³³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­­¬¬¬¬¬¬¬¬¬«««««««««ª«««««ªªªªªªªªª©©©ªªªª©©©©©©©©©¨¨¨¨©©¨¨¨¨¨¨¨¨¨¨§§§§§§§§¦¦¥¥¤£¢ \9f\9d\9b\99\97\95\93\90\8d\8b\88\86\83\80~{xvsqonljhgfeddccbbccddeffghiklmnoprsuvwyz{|~\7f\80\81\82\83\84\85\86\87\88\89\89\8a\8a\8b\8c\8c\8d\8d\8e\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9d\9d\9d\9e\9e\9f\9f\9f ¢¤¦¨«­°²µ¸¼¿ÂÆÉÌÏÒÕ×ÚÜÞàáãäåææçççççææåäãâáàÞÝÛÚØ×ÕÔÒÐÎÍËÊÈÇÆÄÃÁÀ¿¾½½¼»¹¹¸¸··¶¶µµ´´´´´´´³³´´´´³³³³³´´´´´´´´´´´´´´´´´´´´³³³³´´³³³³³³³³²²²±±±±±±±°°°°°°°¯¯¯¯¯¯¯®®®­­­­­­­¬¬¬¬¬¬¬¬¬«««¬¬««««««««««ªªªª«««ªªªªªªªªª©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨§§§§¨¨¨§§§§§§§§§§§§§§§§¦¦¥¥¤¤£¢ \9f\9d\9c\9a\98\95\93\90\8e\8b\89\86\83\81~{yvtrpnljhgfeddccbbbccddeffhijklnopqstvwxyz|}\7f\80\81\82\83\84\85\86\87\88\88\89\89\8a\8b\8b\8c\8c\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\98\98\98\97\97\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9f\9f\9f ¢¤¦¨«­°²µ¸¼¿ÂÆÉÌÏÒÕ×ÚÜÞßáâäåææææææææåäãâáàßÞÜÛÙØÖÕÓÒÐÎÌËÉÈÇÅÄÃÁÀ¿¾½¼»º¹¹¸¸··¶¶µµ´´´´´´´´´´´´´´´´´´´µµ´´´´´µµµµ´´´´µµ´´´´´´´´´´³³³³³³³²²²²²²±±±°°°°°°°¯¯¯¯¯¯¯®®®­­­®®­­­­­­­­¬¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©©©ªªª©©©©©©©©©©©©©©©©©¨¨¨¨¨¨¨¨¨§§¨¨¨¨§§§§§§§§§§§§§§§§¦¦¥¥¤£¢¡ \9f\9d\9b\99\97\95\93\90\8e\8b\89\86\83\81~{yvtrpnmkihgfeeddccddeeffghijklnopqrtuwxyz|}~\80\81\82\83\84\85\86\87\88\89\8a\8a\8a\8b\8c\8c\8d\8d\8e\8e\8e\8e\8f\8f\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\96\95\95\95\96\96\96\96\97\97\96\97\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9d\9d\9e\9e\9e\9f\9f ¡¤¦¨«­°²µ¸¼¿ÃÆÉÌÏÒÕØÚÜÞàâãäææççççççææåäãâàßÞÝÛÙØÖÕÓÒÐÎÍËÊÈÇÆÄÃÁÀ¿¾½¼»º¹¸¸··¶¶µµ´´´´´³³³³³³³³³³³²³³³³³³³³³³³³³³³³³³´´´³³³³³³³³³³²²²²²²²±±±±±±°°°¯¯¯¯¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªªª©©ªªªª©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§¨§§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¤¤£¢¡ \9f\9d\9c\9a\98\96\94\92\8f\8d\8a\87\85\82\7f}zwusqomkihfeddccbbbbbcddeefghjklmnoqrtuwxyz{}~\7f\81\82\82\83\84\85\86\87\88\89\89\8a\8b\8b\8c\8c\8d\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\91\91\91\90\90\91\91\91\91\92\92\91\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\98\98\98\98\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9f\9f\9f ¢¤¦©«­°²µ¸¼¿ÃÆÉÌÏÒÕØÚÜÞàâãåææçççççççæåäãâáàÞÝÛÙØÖÕÓÒÐÎÍËÊÈÇÆÄÃÁÀ¿¾½¼¼»¹¹¸¸··¶¶µµ´´´´´´³³³³³´´³³³³³´´´´³³´´´´´´´´´´´´´´³³³³³³³³²²²²²²²²±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬«««««««««ªª««««ªªªªªªªªªª©©ªªªª©©©©©©©©©©¨¨©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§¦¦¦¦¦¦¦¦¥¥¤¤£¢¡ \9e\9d\9b\99\97\95\92\90\8d\8b\88\86\83\81~{yvtrpnmkihgffeedddddeeffghijklmnoqrtuwxyz{}~\7f\80\82\82\83\84\85\86\87\88\89\89\8a\8a\8b\8c\8c\8d\8d\8d\8d\8d\8e\8e\8f\8f\8f\8f\8f\8f\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\91\91\91\91\91\91\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\97\97\97\97\98\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9f\9f\9f ¢¤¦©«­°²µ¹¼¿ÃÆÉÌÏÒÕØÚÜÞàáãäåæææçççææååäãáàßÞÜÛÙ×ÖÔÓÑÐÎÌÊÉÈÆÅÄÂÁ¿¾½½¼»º¹¸··¶¶¶µ´´´´´´³³³³³³³³³³³³³³³³³³³³³³´´´´³³³´´´´´³³³³³³³³²±±°¯®­¬ª¨¦¤¢ \9d\9a\97\95\92\8f\8c\89\86\83\81~|ywutrponmlkkjjjjjkkllmnopqrstuwxy{|}~\7f\80\81\83\84\85\86\87\88\89\8a\8b\8b\8c\8d\8d\8e\8e\8f\8f\90\90\91\91\91\91\92\92\92\92\93\92\92\92\92\92\93\93\93\93\92\92\92\93\93\93\93\93\92\92\92\93\93\93\93\93\92\92\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9f\9f\9f\9f ¢¤¦©«­°²µ¹¼¿ÃÆÉÌÏÒÕ×ÚÜÞßáâäåææææææææåäãâáàßÞÜÛÙØÖÕÓÒÐÎÌËÉÈÇÅÄÂÁÀ¿¾½¼»º¹¹¸¸··¶¶µµµ´´´´´³³³³³³³³³³³³³´´³³³³´´´´´´´´´´´´³³³³³³³³³²²²²²²²²±±±±±±±°°°°°°°¯¯¯®®®®®®®­­­­­­­­¬¬¬¬¬¬¬¬««««««««ªªªªªªªªª©©ªªª©©©©©©©©©©¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨§§§§§§§§§§§§§§§§¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¦¥¥¥¥¦¦¦¥¥¥¥¥¥¥¥¥¤¤¤¤¥¥¥¤¤¤¤¤¤¤¤¤¤££¤¤¤¤¤££££¤¤¤¤£££¤¤¤¤¤££££¤¤¤£££££¤¤¤££££££££££££££££££££££££££¢¢£££££¢¢¢££££¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢££¢¢¢¢£££££¢¢££££££££££££££££££¤¤¤£££££££££££££££££¢¢¢££££¢¢¢¢¢££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢£££¢¢¢¢¢££££¢¢¢££££££¢££££££¢¢¢£££££¢¢¢¢£££¢¢¢¢¢¢££¢¢¢¢¢££££¢¢¢£££££¢¢¢¢££££¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢£££¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡  \9f\9e\9d\9c\9a\99\97\96\94\92\90\8d\8b\89\86\84\82\7f}{xvtrpnlkigfedccbaaaaaabbbcdefghijklnoqrsuvwyz|}~\7f\80\81\83\84\85\86\87\87\88\89\8a\8a\8b\8c\8c\8c\8d\8d\8e\8e\8e\8f\8f\8f\8f\8f\8f\90\90\90\90\90\8f\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\90\91\91\91\91\91\91\91\91\92\92\92\92\92\92\92\92\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\96\96\96\96\97\96\96\96\97\97\97\98\98\98\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f              \9f\9f                                   ¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¡¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢£¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¢¢¢¢¢¢¢££¤¤¤¥¥¥¦¦§§¨¨¨©©©ªªª©©©©©©¨¨§§¦¦¥¥¤¤£¢¡¡ \9f\9f\9e\9d\9c\9c\9b\9b\9a\9a\99\99\98\98\97\97\97\97\96\96\96\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\97\97\97\97\97\97\97\98\98\98\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9d\9c\9b\9a\98\96\94\92\90\8d\8b\88\85\82\7f|yvsplifda_\ZXVTSQPONMLKKLMNPRSUY]cipw\7f\86\8e\95\9d¤«²¸¾ÃÉÍÒÖÚÝàãæèêìíïðñòòóóóòòðïíëèæãßÜØÔÐÌÇþº¶±­¨¤ \9c\98\95\92\8f\8c\8a\87\85\83\82\81\80\80\7f\7f\7f\7f\7f\80\81\81\82\83\84\85\86\87\89\8a\8b\8c\8d\8e\8f\91\92\93\94\95\96\97\97\99\99\9a\9b\9b\9c\9c\9d\9d\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9e\9e\9e\9e\9d\9d\9d\9d\9d\9d\9d\9d\9c\9c\9c\9c\9c\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f      \9f\9f                                   ¡¡     ¡¡¡¡  ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  \9f\9e\9c\9b\99\97\94\92\90\8d\8a\87\84\81~{xtqnkheb`][YWUSRPONMLKJJIIHHHHGGGGGGGGGGGGGGGHIKNRVZ_ciov}\85\8c\93\9b¢©¯¶¼ÁÇÌÐÔØÜßâåçéëíîïðñòóóôôôõõõõõõôôóóñðîíêèåâßÛØÔÐÌÈÿº¶²®©¥¡\9d\9a\97\94\92\8f\8d\8c\8a\89\88\88\88\87\87\87\88\88\89\8a\8b\8b\8c\8d\8e\8f\90\91\92\93\94\94\95\96\96\97\98\99\99\9a\9a\9a\9a\9b\9b\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9b\9b\9b\9b\9b\9b\9b\9a\9a\9a\9a\9a\9a\9a\9a\99\99\99\99\99\99\99\99\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f      \9f                                      \9f\9f                           ¡       ¡       ¡¡     ¡¡¡      ¡¡     ¡¡¡      ¡¡      ¡¡     ¡¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¢¢¢¢¢¡¡¢¢¢¢¢¢¢¡¢¢¢¢¢¢¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¢¡¡¡¢¢¢¢¢¡¡¡¡¢¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¢¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ \9f\9e\9d\9c\9a\98\96\94\91\8f\8c\89\86\83\80}zwspmjgda_\ZXVTSQPONMLKJJIIHHHHGGGGGGGGGGGGGGGHIKORVZ_djpw~\86\8d\95\9c£ª±·½ÂÈÌÑÕÙÜàâåçéëíîïññòóóôôôõõõõõõôôóóñðîìêèåâßÛ×ÔÐËÇþº¶²­©¥¡\9d\9a\97\94\92\8f\8e\8c\8a\89\88\88\88\87\87\87\87\88\89\89\8a\8b\8c\8d\8e\8f\90\91\92\93\94\94\95\96\96\97\98\99\99\99\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9a\9a\9a\9a\9a\9a\9a\9a\99\99\99\99\99\99\99\98\98\98\98\98\98\98\98\98\98\98\98\99\99\99\99\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f       \9f                          ¡¡¡     ¡¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡      ¡¡     ¡¡¡     ¡¡¡    ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡      ¡¡     ¡¡¡     ¡¡¡     ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡   \9f\9e\9d\9b\9a\98\96\94\91\8f\8c\89\86\84\81}zwtqmjgdb_]ZXVUSQPONMLKJJIIHHHHGGGGGGGGGGGGGGGHILOSW[_ekqx\7f\87\8e\96\9d¤«²¸¾ÃÈÍÒÖÚÝàãæèêìíïðñòòóóôôôõõõõõõôôóòñðîìêçåâÞÛ×ÓÏËǾºµ±­©¤ \9c\99\96\93\91\8f\8d\8b\89\88\87\87\87\87\86\86\87\87\88\88\89\8a\8b\8c\8d\8e\8f\90\91\92\93\94\94\95\96\97\97\98\98\99\99\99\99\9a\9a\9a\9b\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\99\99\99\99\99\99\99\98\98\98\98\98\98\98\98\98\98\98\98\98\98\98\97\97\97\98\98\98\98\98\98\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f      \9f      \9f\9f                                                                  ¡¡¡     ¡¡¡¡    ¡¡¡      ¡                       ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡     ¡               ¡¡     ¡¡¡     ¡¡¡    ¡¡¡¡¡   ¡¡¡¡     ¡¡¡      ¡       ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡      ¡¡     ¡¡¡¡    ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡    ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡     ¡¡¡    ¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡      ¡¡      ¡¡             ¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡¡  ¡¡¡¡¡    ¡¡¡     ¡¡¡¡    ¡¡¡      ¡      ¡¡¡    ¡¡¡¡¡  \9f\9e\9d\9c\9a\98\96\94\92\8f\8d\8a\87\84\81~{xuqnkhec`^[YWUTRQONMLKKJJIIHHHHGGGGGGGGGGGGGGHJLOSW[`ekry\80\87\8f\96\9d¤«²¸¾ÃÉÍÒÖÚÝàãæèêìíïðñòòóóôôôõõõõõõôôóóñðîìêèåâßÛ×ÓÏËǾ¹µ±¬¨¤ \9c\98\95\93\90\8e\8c\8a\89\87\87\86\86\86\86\86\86\87\87\88\89\8a\8b\8c\8d\8e\8f\90\91\92\93\93\94\95\95\96\97\98\98\98\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9a\9a\9a\9a\9a\99\99\98\98\98\98\98\98\98\98\97\97\97\97\97\98\97\97\97\97\97\97\97\98\98\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f                                                                                          ¡¡¡     ¡¡¡    ¡¡¡¡¡  ¡¡¡¡¡¡    ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡    ¡¡¡     ¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¢¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¢¢¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡  ¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡              ¡       ¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡              ¡       ¡   \9f\9f\9e\9c\9b\99\97\95\93\91\8e\8c\89\86\83\80}zvspmjgda_\ZXVTSQPONMLKJJIIHHHHGGGGGGGGGGGGGGGHJLPTX\`flry\81\88\8f\97\9e¥¬²¹¾ÄÉÎÒÖÚÝàãæèêìíïðñòòóóôôôõõõõõõôôóóñðîìêèåâÞÛ×ÓÏËǾ¹µ±¬¨¤ \9c\98\95\93\90\8e\8c\8a\89\88\87\87\86\86\86\86\86\87\87\88\89\8a\8b\8c\8d\8e\8f\90\91\92\93\93\94\95\95\96\97\98\98\98\99\99\99\9a\9a\9a\9b\9b\9a\9a\9b\9b\9b\9b\9b\9a\9a\9a\9a\9a\9a\99\99\99\99\98\98\98\98\98\98\98\98\97\97\97\97\97\97\97\97\97\97\97\97\98\98\97\97\97\97\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\99\9a\9a\9a\9a\9b\9b\9b\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f      \9f                                                                                                          ¡¡¡     ¡¡¡                     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡                     ¡¡¡     ¡¡¡¡   ¡¡¡¡¡    ¡¡¡      ¡       ¡                                       ¡¡      ¡¡    ¡¡¡¡¡   ¡¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡     ¡¡¡    ¡¡¡¡¡   ¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡  ¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡¡   ¡¡¡¡¡    ¡¡¡      ¡              ¡¡¡     ¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡   ¡¡¡¡¡  ¡¡¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡ ¡¡¡¡¡¡¡¡¡¡¡¡¡¡  \9f\9e\9d\9c\9a\98\96\94\91\8f\8c\89\86\83\80}zwspmjgda_\ZXVTSQPONMLKJJIIHHHHGGGGGGGGGGGGGGGHJMPTX]agmsz\81\89\90\98\9f¦­³¹¿ÄÉÎÓ×ÚÞáãæèêìíïðñòòóóôôôõõõõõõôôóóñðîìêèåâßÛ×ÔÐËÇþºµ±­©¥ \9c\99\96\93\91\8f\8d\8b\89\88\87\87\87\87\87\87\87\87\88\89\8a\8b\8c\8c\8d\8e\8f\90\91\92\93\94\95\95\96\97\98\98\99\99\99\99\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9a\9a\9a\9a\9a\9a\9a\99\99\99\99\99\99\99\99\98\98\98\98\98\98\98\98\98\97\97\98\98\98\98\98\98\98\98\98\98\98\99\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f                                       \9f       \9f                                   ¡¡      ¡                                                       ¡       ¡                       ¡¡                                                                                                                                     ¡¡¡¡    ¡¡¡     ¡¡¡¡  ¡¡¡¡¡¡¡ ¡¡¡¡¡¡   ¡¡¡¡     ¡¡¡¡    ¡¡¡    ¡¡¡¡¡    ¡¡¡                                              ¡¡      ¡¡      ¡                                       ¡¡     ¡¡¡     ¡¡¡      ¡¡      ¡¡      ¡¡     ¡¡¡      ¡   \9f\9f\9e\9d\9b\99\98\96\93\91\8e\8c\89\86\83\80}zvspmjgda_\ZXVTSQPONMLKJJIIHHHHGGGGGGGGGGGGGGHHJMQUY]bgmsz\82\89\90\98\9f¦­³¹¿ÄÉÎÓ×ÚÞáãæèêìíïðñòòóóôôôõõõõõõôôóòñðîìêçäáÞÚ×ÓÏËƾ¹µ±­¨¤ \9c\99\96\93\91\8f\8d\8b\89\88\87\87\87\87\87\87\87\87\88\89\8a\8b\8c\8c\8d\8e\8f\90\91\92\93\94\94\95\96\96\97\98\98\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9a\9a\9a\9a\9a\9a\99\99\99\98\98\98\98\98\98\98\98\97\97\97\98\98\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f                                                                                                                                                                                                                                             \9f\9f\9f      \9f                              \9f\9f\9f                              \9f      \9f\9f\9f              \9f                                                                                                                                                               \9f                                      \9f\9f\9e\9d\9c\9b\99\97\95\93\91\8e\8b\89\86\83\80}zvspmjgda_\ZXVTSQPONMLKJJIIHHHHGGGGGGGGGGGGGGHIKNQUY^bgmt{\82\8a\91\98\9f¦­³º¿ÅÊÎÓ×ÚÞáäæèêìíïðñòòóóôôôõõõõõõôôóóñðîìêçåâÞÛ×ÓÏËƽ¹µ°¬¨¤\9f\9b\98\95\92\90\8e\8c\8a\88\87\86\86\86\86\86\86\86\86\87\88\89\8a\8b\8b\8c\8d\8e\8f\91\91\92\93\94\94\95\96\97\97\98\98\98\98\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\99\99\99\99\99\99\99\98\98\98\98\98\98\98\98\97\97\97\97\97\97\97\97\97\97\96\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f      \9f\9f      \9f\9f\9f    \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f                                                                                                                                                                                              \9f       \9f       \9f      \9f\9f\9f      \9f      \9f\9f\9f      \9f      \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f      \9f      \9f\9f\9f     \9f\9f\9f      \9f                                                               \9f      \9f\9f\9f     \9f\9f\9e\9d\9c\9b\99\97\95\93\91\8e\8c\89\86\83\80}zwtqmjgeb_][YWUSRPONMLKKJIIIHHHGGGGGGGGGGGGGGHIKNRVZ^chnu|\83\8a\92\99 §­´ºÀÅÊÏÓ×ÛÞáäæèêìîïðñòòóôôôôõõõõõõôôóòñðîìêçåáÞÛ×ÓÏËƾ¹µ±¬¨¤ \9c\98\95\93\90\8e\8c\8a\89\87\87\86\86\86\86\86\86\86\87\88\89\8a\8a\8b\8c\8d\8e\8f\90\91\92\93\93\94\95\96\97\97\98\98\98\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\99\99\99\99\99\99\99\98\98\98\98\98\98\98\98\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9a\9b\9b\9b\9b\9b\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f                      \9f       \9f      \9f\9f\9f      \9f       \9f       \9f       \9f      \9f\9f              \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f     \9f\9f      \9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f      \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f      \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f       \9f       \9f\9e\9d\9c\9b\99\97\95\93\91\8e\8b\89\86\83\80}yvspmjgda_\ZXVTSQPONMLKJJIIHHHHGGGGGGGGGGGGGGHIKNRVZ_ciou|\83\8b\92\99 §®´ºÀÅÊÏÓ×ÛÞáäæèêìîïðñòòóôôôôõõõõõõôôóòñðîìêçäáÞÚ×ÓÏÊƽ¹´°¬¨¤ \9c\98\95\92\90\8e\8c\8a\89\87\87\86\86\86\86\86\86\87\87\88\89\8a\8b\8c\8c\8d\8e\90\91\92\93\93\94\94\95\96\97\98\98\98\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\99\99\99\99\98\98\98\98\98\98\98\97\97\97\97\98\98\97\97\97\97\97\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f    \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f      \9f      \9f\9f\9f     \9f\9f      \9f\9f       \9f      \9f\9f\9f    \9f\9f\9f\9f      \9f       \9f               \9f       \9f       \9f      \9f\9f\9f                      \9f      \9f\9f\9f     \9f\9f\9f      \9f       \9f      \9f\9f\9f      \9f       \9f      \9f\9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f      \9f      \9f\9f\9f    \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9e\9d\9c\9a\99\97\95\92\90\8d\8b\88\85\82\7f|xurokhfc`^[YWUTRQONMLKKJIIIHHHHGGGGGGGGGGGGGGHILOSW[_diov}\84\8b\92\9a¡¨®µ»ÀÆËÏÓ×ÛÞáäæéêìîïðñòòóôôôôõõõõõõôôóòñðîìêçäáÞÚÖÓÎÊÆÁ½¹´°¬¨£\9f\9b\98\95\92\90\8e\8c\8a\88\87\86\86\86\86\86\86\86\86\87\88\89\8a\8a\8b\8c\8d\8e\8f\90\91\92\93\93\94\95\96\96\97\97\98\98\98\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\99\99\99\99\99\99\99\99\98\98\98\98\98\98\97\97\97\97\96\96\96\97\97\97\96\96\96\96\96\97\97\97\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f     \9f\9f      \9f\9f\9f              \9f       \9f       \9f      \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f      \9f                              \9f\9f\9f                                     \9f\9f                       \9f      \9f\9f\9f    \9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9e\9d\9c\9a\99\97\95\93\90\8e\8b\88\85\82\7f|yvsolifda^\ZXVTRQPNMLLKJJIIHHHHGGGGGGGGGGGGGGHILOSW[`djpv}\84\8c\93\9a¡¨®µ»ÀÆËÏÔØÛÞáäæéêìîïðñòòóôôôôõõõõõõôôóòñðîìêçäáÞÚÖÒÎÊÆÁ½¸´°¬§£\9f\9b\98\95\92\90\8d\8c\8a\88\87\86\86\86\86\86\86\86\86\87\88\89\89\8a\8b\8c\8d\8e\8f\90\91\92\93\93\94\95\96\96\97\97\98\98\98\98\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\99\99\99\99\99\99\99\98\98\98\97\97\97\97\97\97\97\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\98\99\99\99\99\99\99\99\9a\9a\9a\9a\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9c\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9d\9e\9e\9e\9e\9e\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f      \9f\9f\9f      \9f       \9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f     \9f\9f\9f\9f    \9f\9f\9f\9f   \9f\9f\9f\9f\9f    \9f\9f\9f    \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f     \9f\9f\9f    \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f   \9f\9f\9f\9f\9f\9f \9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9d\9d\9b\9a\98\97\95\92\90\8e\8b\88\86\83\80}zvspmjgdb_]ZXVUSQPONMLKJJIIHHHHGGGGGGGGGGGGGGHILOSW[_diov|\84\8b\92\99 §®´ºÀÅÊÏÓ×ÛÞáäæèêìîïðñòòóóôôôõõõõõõôôóòñðîìéçäáÝÚÖÒÎÊÆÁ½¸´°«§£\9f\9b\97\94\92\8f\8d\8b\89\88\86\86\85\85\85\85\85\85\85\86\87\88\89\8a\8a\8b\8c\8d\8e\8f\90\91\92\92\93\94\94\95\96\96\97\97\97\98\98\98\99\99\99\98\98\99\99\99\99\99\98\98\98\98\98\98\98\97\97\97\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\95\95\95\96\96\96\96\96\95\95\96\96\96\96\96\96\96\96\96\97\97\97\97\97\97\97\97\97\98\98\98\98\98\98\98\99\99\99\99\99\99\99\99\99\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9a\9b\9b\9b\9b\9a\9a\9a\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9b\9c\9c\9b\9b\9b\9b\9b\9c\9c\9c\9c\9b\9b\9b\9c\9c\9c\9c\9c\9c\9b\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9c\9d\9d\9d\9c\9c\9c\9c\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9d\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9d\9e\9e\9e\9d\9d\9d\9d\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9e\9f\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9e\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9f\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9e\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9e\9e\9e\9e\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9e\9e\9e\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f\9f  \9f\9f\9f\9f\9f   \9f\9f\9e\9e\9d\9b\9a\98\97\95\92\90\8e\8b\88\85\82\7f|yvspmjgda_\ZXVTSQPONMLKJJIIHHHHGGGGGGGGGGGGGGHILOSW[`diov}\84\8b\93\9a¡¨®µ»ÀÆËÏÓ×ÛÞáäæéêìîïðñòòóôôôôõõõõõõôôóòñðîìêçäáÞÚÖÒÎÊÅÁ½¸´°«§£\9f\9b
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.high.gold b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.high.gold
new file mode 100644 (file)
index 0000000..c59bbe5
--- /dev/null
@@ -0,0 +1 @@
+??????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18\18ÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÇÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÄÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÆÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÅÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÈÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉÉ
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.highz.gold b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.highz.gold
new file mode 100644 (file)
index 0000000..2b32def
--- /dev/null
@@ -0,0 +1 @@
+ßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßßߤ¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶¶´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´µµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµµ¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬¬´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´´²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²²³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³³
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.in b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.in
new file mode 100644 (file)
index 0000000..923e6ac
--- /dev/null
@@ -0,0 +1 @@
+qqjd]Zm\85~tmj`Zm\88~wmj`]t\88\81wmj`]t\8b\85wqjg`t\8b\85wqjg`w\8e\85~tmj`w\8e\85{tmj`w\8e\85~tmjd{\95\88~wmjg{\92\88~wmjg~\92\8b\81wqjg{\92\88\81wqjg~\95\88\81wqjj~\95\8b\85{tmj~\95\8b\85{qmg\81\98\8b\85{tmj~\95\8e\85{tmj\81\98\8e\85{tmj\81\98\8e\85~tqj\81\98\8e\85~tqj\85\9c\92\85~wqj\81\9c\8e\85~tmj\85\9c\8e\85~wqj\85\9c\92\85~wqj\85\9c\92\85~wqj\85\9f\92\88\81wqm\85\9c\92\85~wqj\85\9c\92\88~wqm\85\9c\92\88~wqm\85\9c\8e\88\81wqj\85\9f\92\88\81{tm\85\9c\92\85\81wqj\85\9f\92\88\81wtm\85\9f\92\88\81{tm\88\9f\92\88\81wtm\88\9f\95\88\81{tm\88\9f\92\85~wtm\88\9f\92\88\81{tm\88\9f\92\88\81{tj\88\9f\92\88\81wtm\88\9f\95\88\85{tm\88\9f\92\88\81wtm\88\9f\92\88\85{tm\88\9f\92\88\81{tm\88\9f\92\88\81wtm\8b\9f\92\88\85wtm\88\9f\92\88\81wtm\9c©\9f\92\8b\85~wîøëÝÓÊüÿÿÿÿÿþûîÿÿÿÿÿøñççÿþôëáÚÐÓøëÝÓÊù¹ÝÓƼ¶¯¥©ÍÀ¶¬¢\9f\95\9fù¬¥\9f\95\8e\98¼³©\9f\98\8e\88\98¼³©\9f\98\8e\88\98¼³©\9f\95\8e\88\9c¼³©\9f\98\8e\88\9c¼³©¢\98\92\88\98¼³©\9f\95\8e\88\95¹³©\9f\95\8e\88\95¹¬¢\9c\92\8b\85\8e¶¬¢\98\92\88\81\92¶¬\9f\98\8e\88\81\8b¯¥\9f\92\8b\85\81\8b³©\9f\95\88\85~\88¯¥\9c\92\88\85{\85¬¢\95\8e\88\81{\88¬¢\98\8e\85\81{\85¬\9f\95\8b\85~w\85©¢\98\8e\85\81{\85©\9f\95\8b\85~w\85©\9f\92\8b\85~w\85©\9f\95\8b\85~t\81¥\9f\95\88\81~t\85©\9f\92\88\85{t\81¥\9c\8e\88\81{t\81¥\9f\92\88\81{t\81¥\9c\92\88\81{t~¢\9c\8e\85~wt\81¥\9f\92\88~{q~¢\98\8e\85~wq~¢\9c\8e\88~{t~¢\9c\8e\85~wq~¢\98\8e\85~wq~¢\9c\8e\85~wq~¢\95\8b\85~wq~¢\98\8e\85~wq~\9f\98\8b\85{wm~\9f\95\8e\85{tm~¢\98\8e\85~tq{\9f\95\8b\85{tm~¢\95\8b\85{tm~\9f\98\8b\85{tm{\9f\98\8b\81{tm~\9f\95\8e\85~wm{\9f\95\88\85{tm{\9f\98\8e\85{tq{\9f\95\8b\85{tm{\9f\95\8b\85{tm~\9f\98\8e\85{tm{\9f\92\88\85{tm~\9f\95\88\85{tm{\9f\92\8b\81{tm{\9f\92\88\81{tm~\9f\95\8b\81{tm{\9f\95\88\81wqm{\9f\95\8b\85{tm{\9f\92\88\81{tmw\9f\8e\85~wqmj{qj`ZSPIMF<62/,(2,%\1f\e\18\15\18,%\1f\18\11\11\ e,6/,"\1f\e\15CMC96/,(Z]VPF?<6jqj]VPMFtwqg`ZSPw\81wjg`ZSw\81wmj`]Vt\81wmg`ZVw\81{qj`]Vt\81wmj`ZVw\85wqj`]V{\85{tjd`Z{\85{tjg]Z\81\88\81wmjd]\81\88\81wqj`]\85\8b\85wqjg`\85\8e\85{tjg`\85\8e\85{tmg`\88\92\85~tmj`\85\92\85~tmj`\88\92\88~wmjg\88\92\88\81wqjg\88\92\88\81wqjg\8e\95\8b\85{qjj\8b\95\88\81wqjg\8e\95\8b\85{tmg\8e\98\8b\85{tmg\9f¢\98\8e\85~wqññçÚÐÆÀ¹ÿÿÿÿÿûñçÿÿÿÿûñîáëÿûîäÚÓÊÐîä×ÍƼ¶¼ÚÍù³©¢©Æ¹³©\9f\9c\92\9f¼³©\9f\98\92\8b\9f¹¯¢\9f\92\8b\85\9f¹¬¢\9f\92\8b\85\9f¹¯¥\9f\95\8e\88¢¹¯¥\9f\92\8b\85¢¹³©\9f\95\8e\88¢¹¯¥\9f\95\8e\85\9f¹¬¥\9f\92\8b\85\9f¶¯¢\98\92\88\85\9c³©\9f\95\8e\88\81\9c³©\9f\95\8e\85\81\98¯¥\9c\92\8b\85~\95¯¥\98\92\88\85~\95¬¥\98\92\88\81{\92¬¢\98\8b\85\81w\92¬¢\98\8e\85\81w\92©\9f\95\8b\85~w\8e©\9f\95\8b\85~w\8e©\9f\92\8b\85~w\8e©\9f\95\88\81{t\8e©\9f\92\88\85~t\8b¥\9f\92\88\81{t\8b¥\9f\8e\88\81wt\8b¥\9f\8e\88\81{t\85¢\98\8b\85{tmm~tjd]ZSPMC<62/,(/,"\1f\18\15\11"/,"\1f\18\15\116<6/(%\1f\1fPPM?962/ddZSIF?<qqj`ZSPFw{qj`]VP{~tjd]ZS{\81wqj`]Vw\81wmg`]V{\81wmg`]V{\81wmj`]V{\81wmj`]V\81\85{qjd]Z\81\85~qjg]Z\85\88~tmj`]\85\88\81wqjd]\85\88\81wqjg]\8e\8e\85{tjj`\8b\8e\85{qjj`\8e\8e\85{tmg`\92\92\85~tmjd\8e\8e\88~tqjd\92\92\88\81wqjg\92\92\88~tqjd\92\92\88\81wqjg\95\92\88\81wqjg\95\92\8b\81wqmj\98\95\8e\85{tmj¢¢\95\8e\85~wqøñçÚÐÆÀ¹ÿÿÿÿÿûñëÿÿÿÿûñëáôÿþñçÝ×Ê×ñäÚÐÆÀ¹ÃÚÐù³¬¢¯Æ¼³©¢\9c\95©¼¶©\9f\98\92\88©¹¯¥\9f\95\8e\88¥¹¯¢\9f\95\8b\85¬¹¯¥\9f\95\8e\85¬¹¯¥\9f\95\8e\85©¹¯¥\9f\95\8e\88¬¹¯¥\9f\95\8e\85¥¹¬¢\9c\92\8b\85¥¹¬¢\9c\92\8b\85¢³¬¢\98\8e\88\81\9f³©\9f\92\8e\85\81\9f¯©\9f\92\8b\85~\9f¯¥\9c\8e\88\85{\9c¯¢\9c\92\88\85{\98¬¢\95\8e\88\81{\9c¬¢\98\8e\85\81w\98¬¢\95\8b\85\81{\98©\9f\92\8b\85~w\9c©\9f\95\8e\85~{\95©\9f\92\8b\85{w\98©\9f\92\8b\85{t\98©\9f\92\88\85{t\95¥\9c\92\88\81{t\98¥\9f\92\88\81{t\92¢\95\8e\85{wqq~wjd]ZSPPF<62/,,/("\1f\15\15\ e,2,"\1f\18\15\11<96/,"\1f\1fVPI?96/,jd]SMF?<wqj`ZPPF\81{tj`]VP\81~tjd]ZS\85\81wmg]]S\81\81wmg`ZS\81\81wmg`ZS\85\81wmg`]V\85\81wmg`ZV\85\85{qjd]Z\88\85~tjg]Z\8b\85~tmg`]\92\8b\81wqjg]\8e\88\81wqjd]\92\8e\85{qjg`\92\92\85{tjg`\92\8e\85~tmg`\95\92\88~tmjg\92\92\88~tmjd\98\92\88\81wqjg\95\95\88\81wqjg\95\92\88\81wqjg\9c\95\8b\81{tmj\98\92\8b\81{tmj\9c\95\8e\85{tmj©\9f\98\8e\85~wqøîäÚÍƼ¹ÿÿÿÿÿûñëÿÿÿÿûñëáûÿûñçÚÓÍáîäÚÐƼ¹ÆÚÐù³¬¢¹Ê¼¶©¢\9c\92¯¼³©\9f\98\92\88¯¹¯¥\9f\92\8e\88¯¹¯¢\9c\92\88\85³¹¯¥\9f\92\8e\85¶¹¯¥\9f\95\8e\88³¹³¥\9f\92\8e\85³¹¯¥\9f\92\8e\85¯¹¯¢\9c\92\88\85¯¹¬¢\9c\92\88\85¬¶¬\9f\98\8e\85\81©³¥\9f\92\88\85~©³¥\9f\92\8b\85~¥¯¢\98\92\88\85{¥¬¢\9c\8e\88\81{¢¬¢\95\8e\85\81w\9f©\9f\95\8b\85~w¢¬\9f\98\8b\85~w\9f©\9f\95\8e\85~w\9f©\9f\92\8b\85~w\9f©\9f\92\8b\85~w\9f¥\9c\92\88\81{t\9f©\9f\92\88\85{t\9c¥\9c\92\88\81{t\9f¥\9f\8e\88\81{t\98¢\98\8b\85~wqq~tjd]ZSPPF<62/,,/,"\1f\18\18\11//,%\1f\e\15\11C96/,%"\1fZPI?962/mg]SPFC<wqj`ZSPI\85{qj`]VP\85~tmg]ZS\85~wjg`ZS\88\81wmg`]V\85\81tmg`ZS\8b\81wmg`]V\88\81wmg`]V\8b\85{qjd]Z\92\85~tjd`Z\8e\85~tmg`]\95\88\85wqjd]\95\8b\85wqjd`\95\8e\85{qjg`\98\92\85{tmj`\98\8e\85{tmj`\9f\92\88~wmjd\9c\92\88~tmjd\9c\92\88~wqjd\9f\92\88\81wqjg\9f\92\88\81wqjd\9f\95\8b\81{qmj\9f\92\8b\81wqmg\9f\95\8e\81{qjg¬¢\95\8e\85~wqûîäÚÐƼ¶ÿÿÿÿÿûôëÿÿÿÿûñëáÿÿûîçÚÓÊëîä×ÐÆÀ¹ÐÚÐù¯©¢ÀƼ³¬¢\9c\92¶¼³©\9f\98\92\88¹¹¯¢\9f\95\8e\85¹¹¯¢\9c\92\8b\85¹¹¬¢\9f\92\8b\85¹¹¯¥\9f\92\8e\88¹¹¯¢\9f\95\8b\85¹¹¯¥\9f\92\8b\85¹¹¬¢\9c\92\8b\85³¶¬\9f\98\8e\88\81³¶¬\9f\98\8e\88\85¯³¥\9f\92\8b\85~¯¯¥\9f\95\88\85~¯¯¥\9c\92\88\85~¬¬¢\98\92\88\81{¬¯¢\98\8e\88\81{©¬¢\95\8b\85~w¬¬\9f\98\8e\85\81w©©¢\95\8b\85~w¥©\9f\95\8b\85~w©©\9f\92\8b\85~t¥¥\9f\92\88\81{t¥¥\9f\92\88\81{t¥¥\9f\92\88\81{t¥¥\9c\8e\85\81wt¢¢\98\8e\85~wqw{tjd]VSPPC<6//,,/("\1f\18\11\ e2/("\1f\15\15\ eF<6/,%"\1fZPF?92/,qd]PMF?9~qj`ZSPF\85{qj`ZSP\8b~wmg`]S\88~tjd`ZS\8b\81wmg`ZS\88\81wmg]]S\88\81wmg`]V\8b\85{qj`]V\8e\85{qj`]Z\92\85~tjg`]\92\88~tmj`]\95\88\81wmjd]\95\8b\81{qjg`\95\8b\85wqjg`\9c\8e\85~tmj`\9c\8e\85{tmjd\9c\8e\85~tmj`\9f\92\85~wmjd\9f\92\88~tmjd\9f\95\8b\81wqjg¢\95\88\81wqjg¢\95\88\81wqjg¢\92\88\85wqmj¢\92\8b\81{qmg¯¢\98\8e\85\81wtþñäÚÐƼ¹ÿÿÿÿÿûñçÿÿÿÿþñîáÿÿûîäÚÓÊññçÚÐÆÀ¹××Íù¯¬¢ÆƼ³©¢\9c\92¼¼³©\9f\98\8e\8b¼¹¯¢\9c\92\8b\85¼¹¯¥\9f\95\8b\85¼¹¯¥\9c\92\8b\85ù¯¥\9f\92\8e\85ù¯¥\9f\95\8b\85¼¹¯¢\9c\92\8e\85¼¹¯¢\9f\95\8e\85¹¶¬\9f\95\8e\88\85¹¶¬\9f\95\8e\88\85¹³©\9f\95\8b\85~¶¯¥\9c\92\8b\85{³¯¥\9f\92\8b\85~³¬¢\95\8e\85\81{³¬¢\98\8e\85\81{¯©\9f\95\8e\85~w¯©\9f\95\8b\85~w¯©\9f\95\8b\85~{¬¥\9f\92\88\81{w¬©\9f\92\8b\85~t©¥\9f\92\88\81{w©¥\9c\92\88~{t¬¥\9c\92\88\81{t¥¢\98\8e\88~{t¥\9f\98\8e\85~wq{~tj`]ZSPIC<6//,//,"\1f\18\15\116/("\e\15\11\ eI<6/,%\1f\1f]PM?96/,qd]SMCC9\81tj`]SPI\88wqg`ZSP\8e~wmg]ZS\8e\81wmg]ZS\8b~wmg`]S\8e\81wmg`ZV\8e\81wmg`]V\92\85{qj`]Z\92\85{qj`]V\92\85~tjd`Z\95\88~wmj`]\98\88\81wqjd]\9c\8b\85{qjg]\9c\8b\85{qjg]\9f\8e\85{tmg`\9f\8e\85~tmj`\9f\92\85{tmj`\9f\92\88~wqjd¢\92\88\81tqjg¢\95\88\81wqmg¢\95\8b\81wqjg¢\95\8b\81wqmg¢\95\8e\85{tqj¢\95\8b\85{tmj¯¢\9c\8e\85~{tþñä×ÐÆÀ¹ÿÿÿÿÿøñçÿÿÿÿûñîáÿÿûîäÚÓÊôîä×ÐÆÀ¹Ý×Íù³¬¥Êƹ³©\9f\9c\92Ƽ¶¬¢\98\95\8bù¯¢\9c\92\8e\85ù¯¥\9f\92\8e\85ù¯¥\9f\92\8e\85ƹ¯¥\9f\95\8e\85Ƽ³¥\9f\95\8e\88ù³¥\9f\92\8e\85ƹ¯¥\9f\92\8b\85ù¬¢\9c\8e\8b\85¼¶¬\9f\95\8e\88\81¼³©\9f\95\8e\85\81¹³¥\9c\92\8b\85~¹¯©\9f\92\88\85~¹¬¥\9c\8e\88\85~¹¬¢\98\8e\88\85{¶¬¢\98\8e\85\81{¶©\9f\92\8e\85~w¶¬\9f\95\8b\85~w³©\9f\92\88\85~w³¥\9f\92\8b\85{t³©\9f\92\88\85~t¯¥\9c\92\88\81{t¯¥\9f\92\88\81{t¬¥\9c\92\88\81{t¬¢\98\8b\85{wq\81~tjg]ZSPPC<62/,2/,"\1f\e\15\116/,"\1f\18\15\11F<6/,%"\1f]SM?962/md]SMF?9\81qj`ZVPI\88{qj`]ZP\8b~tmg`ZS\8e\81wmg`]V\8b~wmg`ZV\8e\85wmg`]V\8e\81wmg`]V\92\81{qjd]Z\92\85{qjd]Z\92\85{qjg`Z\98\88~tmgd]\98\88\81wmj`]\9c\8b\81wqjg`\9c\8e\85{tjg`\9c\8b\85{tjg`\9f\8e\85~tmjd\9f\8e\85~tmjd\9f\92\88~wqjg¢\92\88~wqjg¢\92\88~wqjg¢\95\88\81wtmj¢\92\88\81wqmg¥\92\8b\85{qjg¢\95\8b\85{tmj¯¢\98\8e\85~wqþñçÚÓÆÀ¹ÿÿÿÿÿûñëÿÿÿÿþñîäÿÿûîçÚÓÊûîä×ÐÆÀ¶äÚÐƹ³¬¢Ðƹ³©\9f\9c\92ʼ¶©¢\98\92\8bƹ¯¥\9f\95\8e\85ƹ¯¢\9c\95\8b\85ƹ¯¥\9f\95\8e\85ƹ¯¥\9f\95\8b\85Ƽ¯©\9f\95\8e\88ƹ¯¥\9f\95\8e\85ƹ¯¢\9c\92\8b\85ù¬¢\9c\92\8b\85À³©\9f\95\8e\88\81À³©\9f\95\8b\85\81¹¯¥\9f\92\88\85~¹¯¢\9c\8e\88\85{¹¯¢\98\92\88\85{¶©\9f\95\8e\85\81{¹¬¢\98\8e\85\81{¶©\9f\95\8b\85~{¶©\9f\95\8b\85~w¶©\9f\92\8b\85~w³¥\9f\92\8b\85~t¹©\9f\95\88\85~w³¥\9c\92\88\81{t³¥\9f\92\88\81{t¶¥\9c\92\88\81{t¬\9f\98\8b\85{tq\85~tjg]ZSPMC<62/,6/("\1f\18\11\ e</,"\1f\18\15\ eF96/("\1f\e]PF?96/,m`ZPMC?9~qj]VSPI\88{qj`]VP\8b~tmg]ZS\8e\81wmg`ZS\8e~tmg]ZS\92\81wmg]ZS\8e\81wmg`]S\8e\81wmj`]V\92\85~tjd`Z\95\85{tjg]Z\98\88~tmg`]\9c\8b\81wqjg]\9c\8b\85wqjg`\9f\8b\85{tmj`\9f\8e\85{tmj`\9f\8e\85~tmjd\9f\92\88~tmjg\9f\92\88~tmjg¢\95\88\81{qmg\9f\95\88\81wqjg¢\95\8b\81{tmg¢\95\88\81{qmj¢\95\8b\81{qmj¥\98\8b\85{wmj¯\9f\98\8e\85~{qþîçÚÐÆÀ¹ÿÿÿÿÿûñëÿÿÿÿûôîáÿÿûñçÝÓÊþîçÚÐƼ¹çÚÐƹ³¬¢×Ƽ³¬¢\9c\92ÍÀ³¬\9f\95\92\88ʹ³©\9f\95\8e\85ƹ¯¢\9c\95\8b\85ʼ¯©\9f\92\8e\88ʹ¯¥\9f\95\8e\85ʹ³¥\9f\95\8e\85ʹ³¥\9f\92\8e\88ƹ¬¢\9c\92\8b\85ƹ¬¢\9c\92\8b\85ö¬\9f\95\8e\88\81À³¥\9f\92\8b\85~À³©\9f\92\8b\85\81¼¬¥\9c\92\88\85~À¯¥\9c\92\88\85{¼¬¢\98\8e\85\85w¹¬\9f\98\8e\85\81{¹¬¢\95\8b\85~w¹©\9f\92\8b\85~w¹©\9f\95\8b\85~w¹©\9f\92\88\85{w¶¥\9f\92\88\85~w¶¥\9f\92\88\81~t³¥\9c\8e\88\81{t³¥\9f\92\88\81{w¯\9f\95\8e\85{wm\85{tjg]ZSSPF<66/,6/,"\1f\e\15\15</,%\1f\e\18\15I<6/,%"\1f]PI?962/md]SMF?<~qg]ZSPF\85{qj`]VP\8b~tjd]ZS\8b\81wmg]ZS\8b~wmg]ZS\8b~wmg]ZS\8b\81wmj`]V\8e~wmg`]V\92\85{qjd]Z\92\85{tjd]Z\95\85{tmg`Z\95\88\81wmjd]\98\88\81wqjd]\9f\8b\85{qjg`\9c\8b\85{qjg`\9f\8e\85{tjg`\9f\8e\85~tmj`\9f\8e\85~tjjd\9f\92\88~wmjg\9f\92\88~wqjg\9f\92\88~tqjj¢\95\88\81wqjg\9f\95\88\81wqjg¢\95\8b\85wqmg¢\95\8b\85wtjg¢\95\8b\81wtjj¥\98\8e\85{tmj¢\98\8b\81{tmj¥\98\8e\85~tmj¢\98\8e\85{tmj¥\98\8e\85{tmj¥\9c\8e\85~wqj¥\98\8e\85{tmj¥\98\8e\85~wqj¥\9c\8e\85~wqj¢\98\8e\85~tqj©\9c\8e\85~wqj¥\98\8e\85~wmj©\9c\92\85~wqm¥\9c\8e\85~wqj¥\9c\8e\85~wqj©\9c\92\85~wtj©\9c\8e\85~wqj©\9c\92\88\81wtm©\9c\8e\85\81wtj©\9f\92\88~wqj©\9c\92\88~wqj¥\9c\92\88~wqm©\9f\95\88\81wtm©\9c\8e\85~wqj©\9f\92\88~wtm©\9f\92\88\81wtm©\9c\92\85~{qm©\9f\92\88\81{tm©\9c\92\88~wtm©\9f\92\88\81{tm¶©\9f\92\8b\85~wþôëÝÓÊùÿÿÿÿÿþøîÿÿÿÿþøîäÿÿþôîáÚÐÿôçÝÓÊüîÚÓƼ³¬¥ÚÍù¬¥\9f\98ÐÀ¶¬¢\98\92\8eʼ³©\9f\98\8e\88ʹ¯¥\9f\95\8e\88ʹ³¥\9f\92\8e\88ʼ³©\9f\95\92\88ʹ³¥\9f\95\8e\88ʹ¯¥\9f\98\8e\88ƹ¯¥\9f\92\8b\88ƶ¬¢\98\92\88\85ö¬¢\95\8e\88\85¼³©\9f\92\8b\85~À¯¥\9c\95\8b\85~¼¬¢\9c\92\88\85~¼¬¢\98\8e\88\81{¼¬¢\98\8e\88\85{¹¬\9f\98\8e\85~w¹¬\9f\95\8e\85\81{¹©\9f\92\8b\85~w¹©\9f\92\8b\85{w¹©\9f\92\88\85~w¹¥\9f\92\88\81{t¹©\9f\92\88\85~w³¥\9c\92\88\81{t¶¥\9c\8e\85~wq¶¢\9c\92\88\81{t³¢\9c\92\85~wt³¥\9c\8e\85~wt¯¢\98\8e\85~wq³¢\98\8e\85~wq³¢\95\8e\85~wq¯¢\95\8b\85{tq³¢\98\8e\85~wq¯¢\95\8b\85{tq¯\9f\95\8b\85~wm¯\9f\95\8b\85{wm¬\9f\92\88\85{tm¯\9f\95\8b\85~wq¯\9f\95\88\85{tm¯\9f\95\8b\85{tm¯\9f\98\8b\81{wm¯\9f\92\8b\81{tm¯\9f\98\88\85{wm¬\9f\92\88\81{tm¯\9f\92\8b\85{tm¯\9f\92\88\85{tm¬\9f\95\88\81{tm¯\9f\95\8b\85{tq¬\9f\92\88\81{tm¬\9f\95\88\85{tm¬\9f\92\88\81{tm¬\9f\92\88\81wtm¯\9f\95\88\81{tm¬\9f\95\88~{tj¬\9f\95\88\85{tm¬\9f\92\88\81{tj¬\9f\92\88\81wtm©\9c\92\88~wqj\85wmg]VSPSIC96/,,9/,"\1f\18\15\ e6,"\1f\18\11\11\v?6/(%\1f\e\18PI?92/,(g]VPF?<6wmg]VPIF\85wmj]ZSP\88~tmg]ZS\88\81tmg]ZS\8b\81wmg`]V\8b~wmg`ZS\8b\81wmj`ZS\8e\81{mj`]S\8e\81wqjd]V\92\85{tjg`Z\92\88~tmg`Z\95\88\81tmgd]\95\8b\81wqjg]\98\88\81wtjg`\9c\8e\85{qjj`\9c\8e\85{tmj`\9c\8e\85{tmj`\9f\92\88~wmjg\9f\92\85~tqjd\9f\95\88\81wqmg\9f\92\88~wqjg\9f\92\88\81wqmg\9f\95\8b\81{qmg\9f\92\8b\81{qjg\9f\95\8b\85{tmj¢\95\8b\81wtmj\9f\95\8b\85{tmj¢\98\8b\85{tmj¢\95\8b\85{tqj¢\98\8e\85~wqj¢\95\8e\85~tqj¥\95\8e\85~wqj¥\9c\92\85~wqj¥\98\8e\85{tqj¥\9c\8e\85~wqj¢\9c\92\85{tmj¥\9c\92\85~wqj¥\9c\8e\85~wqj¢\98\8e\85{wqj¥\9c\92\85\81wqj¥\9c\92\85~tqj¥\9c\92\85~wqj¥\9c\92\85~wqj¥\9f\8e\85~wqj¥\9f\92\88\81wtj¥\9c\8e\85~wqj©\9c\92\85~wqm¥\9c\92\88~wqj¥\9c\8e\85~wqj¥\9f\92\88\81wtj¥\9c\92\85~wqj¥\9c\92\88~wtm¥\9c\92\85\81wtm¥\9c\92\85~wqj¥\9f\92\88\81wtm¥\9c\92\85~wqj¯¥\9f\92\88\85{tþôçÝÓÆùÿÿÿÿÿûøîÿÿÿÿþøîäÿÿûñäÝÓÍÿñçÚÓÊùîÝÐƹ³¬¥ÚƼ¶¬¢\9f\92Ðö¬¢\9c\95\8bʹ¯¥\9f\95\8e\88ƹ¯¥\9f\95\8e\85ƹ¯¥\9f\92\8e\88ƹ³©\9f\95\8e\88ʹ³¥\9f\95\8e\88ƹ¯¥\9f\92\8e\85ƹ¯¥\9f\95\8e\85ö¬¢\9c\92\8b\85ö¬\9f\98\8e\88\85ö©\9f\95\8e\85\81¼¯¥\9c\92\8b\85{¼¯¥\9c\92\88\85~¹¬¢\98\8e\88\81{¹¬¢\98\8e\85\81{¹¬¢\95\8e\85\81{¹©\9f\92\8b\85~w¹¬\9f\92\8b\85~w¶©\9f\92\88\85{t¶©\9f\92\88\85~t¶¥\9f\92\88\81{t³¥\9c\8e\88\81{t¶¥\9c\92\88\81{t¯¥\9c\8e\85~wq¯¢\95\8b\85~tm\8b~qjd]VPSIC96/,,6/("\1f\18\11\ e6/(\1f\e\15\11\ eC<6/,%"\eZPF?96/,j`ZPIF?9{qj`ZSPI\85wmj]ZSP\85~tmg]ZS\88~wjg`ZS\88~tjg]ZS\88\81wmg`]S\88~tmg]ZS\88\81{mj`]V\8b\81{qj`]V\8e\85{qjg]Z\92\88~tmgd]\92\85~tmjd]\92\8b\81wqjg]\95\8b\81{qjg`\98\8e\85wqjg]\9c\8e\85{tmjd\98\8b\85{qjg`\9f\92\85~tmjd\9c\92\85~tmjd\9c\92\85~wmjg\9f\92\88\81wqjg\9c\92\88~wqjg\9f\92\88\81{tjg\9f\95\88\81wqjg¬¢\98\8e\85{wmûîä×ÍƼ¶ÿÿÿÿÿøîçÿÿÿÿûñëáÿÿûîäÚÐÊþîä×ÍƼ¹ç×Êù¯©¢Óƹ¯©\9f\95\92ʼ³©\9f\98\92\88ƹ¬¥\9c\92\88\85ù¬¢\9c\92\8b\85ƹ¯¢\9c\92\8e\85ƹ¬¢\9c\92\8b\85ƹ¯¥\9f\95\8e\85ù¬¢\9c\92\88\85ö¬¢\98\92\8b\85ö¬¢\95\8e\88\81À³©\9f\95\8b\85\81¼¯©\9f\92\8b\85~¹¯¢\98\92\88\85{¹¬¢\98\92\85\81{¹¬¢\95\8e\85\81{¹©\9f\92\8e\85\81w¶¬\9f\95\8e\85\81w¶©\9f\92\8b\85~w¶©\9f\92\8b\85~w³¥\9f\95\88\85{t³¢\9c\92\88\81{t¯¥\9f\92\88\81{t¯¢\9c\8e\85\81{q¯¥\9c\92\88\81{t¯¢\98\8e\85~{t¬\9f\95\8b\85{wm\8b~tjd]ZSSIC<6//,6/("\1f\18\11\ e6/(\1f\e\15\11\ e?<6,("\1f\eVPI?96/,j`ZPIC?9{mj]ZSPF\81{qj`ZSP\85{tj`]ZP\88~wmg]ZS\88~tjg]ZS\88~wjg`ZS\88~tmg]]S\88\81wjg`]S\8b\85{qjd]Z\8b\85{qjd]V\8e\85~tmg`Z\92\88~tmj`]\92\88\81wmjd]\95\8b\85{qjg`\98\8b\85{qjg`\98\8e\85{tmgd\9c\8e\85{tmgd\9c\8e\85~wmjd\9c\92\88~wqjg\9c\92\85~tqjg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\85{tmg¬\9f\95\8b\85{wqûîä×ÍƼ¶ÿÿÿÿþøîäÿÿÿÿûîçÝÿÿøîäÚÓÊûîáÓÍü¶ä×Íù¯¬¢Óƹ¯¥\9f\98\92Ƽ³©\9f\98\92\88ù¯¢\9c\92\8b\85ù¬¢\9c\92\8b\85ƹ¯¥\9f\92\8e\85ƹ¯¥\9f\95\8e\85ƹ³¥\9c\92\8e\85ƹ¯¥\9f\92\8b\85ö¬¢\98\92\8b\85ö¬¢\98\92\88\85¼³©\9f\95\8b\85~¼³¥\9f\92\8b\85~¹¯¥\9c\92\88\85{¹¬¢\98\8e\88\81{¹¬¢\9c\8e\85\81{¶©\9f\95\8e\85~w¶¬\9f\95\8b\85~w³©\9f\92\8b\85~w³¥\9f\92\88\85{t³©\9f\95\8b\85~w¯¥\9c\92\88\81{t³¥\9c\92\88\81{t¯¥\9c\8e\85\81{t¯¢\98\8e\88~{t¯¥\9c\8e\85\81{t¬\9f\95\8b\85{tm\88{tjd]VSSMF<62/,6/("\1f\e\15\116/,"\1f\18\15\11C<6/(%\1f\1fZPI?962/j`ZSPF?<{qj`ZSPF\85{qjd]VP\85~tmg]ZS\88~tmg`]V\88~tmg]ZS\85~tmd`ZS\88~wmg`]V\88~wmg`]V\8b\85{qjd]Z\8b\85{qjd`Z\8e\85~tmg`Z\92\88\81wqj`]\92\88\81wmjd]\98\8b\85wqjg`\95\8b\85{qmg`\98\8b\85{tmg`\9c\8e\85{tmjd\98\8e\85~tmj`\9c\8e\88~wmjd\9c\8e\85~tmjd\9f\92\88~wqmg\9f\92\88~wqjg\9f\92\88~wqjg\9f\95\8b\81{qjj¬\9f\95\8b\85{wqûîä×ÍƼ¹ÿÿÿÿÿûñçÿÿÿÿûñëáÿÿûîäÚÓÊøîáÓÍü¶ä×ÍÀ¹¯¬¢Óƹ³©¢\9c\92ƹ¯©\9f\95\8e\88ù¯¢\9c\92\8e\85À¶¬\9f\98\8e\8b\85ù¬¢\9c\92\8b\85ù¬¢\9c\92\8b\85ö¬¢\9c\92\8b\85ù¯¢\9c\95\8e\85À¶¬¢\98\92\8b\85À¶¬\9f\98\8e\88\85¹¯©\9f\92\8b\85~¹¯¥\9c\92\88\85~¹¬¢\9c\92\88\85{¶¬\9f\95\8e\85\81{¹©\9f\98\8e\85\81w³¬\9f\95\8b\85~w³©\9f\92\8b\85~w³©\9f\92\8b\85~t¯¥\9f\92\88\81{t¯¥\9f\92\88\81~t¯¥\9c\8e\88\81{t¯¢\9c\8e\85\81{t¯¥\9c\8e\88\81{t¯¢\9c\8e\85~wq¯¢\98\8e\85~wq©\9f\92\88\81{tm\85{qj`]VPSIC<6/,(2/%\1f\e\15\11\ e6/("\e\15\11\ e?96,("\1f\eVPF?62/,j`ZPIF?9wqg]VPMF\81{mj`ZSP\85~tjd]ZS\88~tjg`ZS\88~wjg]ZS\88~tjg]ZS\88~wmj`ZS\88~tmg`ZV\88\85{qj`]V\8b\85{qjg`Z\8e\85{tjg]Z\92\88\81tmjd]\92\88\81wmjd]\95\8b\85{qjg`\98\8b\85{tjg`\95\8b\85{tmj`\98\8e\85~wmjd\98\8e\85~tmj`\9c\92\85~wmjd\9c\92\88~wqjd\9c\92\88~wmjg\9f\92\88\81wqmg\9f\95\88\81wqjg\9f\95\88\85{tjg¬\9f\95\8b\85~wqûîä×ÍƼ¶ÿÿÿÿÿûñëÿÿÿÿûñëáÿÿûîäÚÓÊûîä×Íü¶ä×ÊÀ¹¯©¢ÓƼ³©¢\9c\92Ƽ¯©\9f\95\92\88ù¯¥\9c\92\8b\85ù¬¢\9c\92\8b\85À¹¯¢\9c\92\8b\85ù¯¥\9f\92\8e\85ù¯¥\9c\92\8b\85ù¯¥\9c\95\8b\85À¶¬¢\9c\92\88\85¼³©\9f\98\8e\88\81¼³©\9f\98\8e\88~¹¯¥\9c\92\88\85~¹¯¥\9c\92\88\85{¶¬¢\98\8e\88\81{¶¬¢\95\8b\85\81{¶¬\9f\98\8e\85~{³©\9f\92\8b\85~w³©\9f\92\8b\85\81w¯©\9f\92\8b\85~w¯¥\9f\95\88\85{t¯¥\9f\92\88\85{t¯¥\9c\92\88\81{t¯¥\9c\92\88\81{t¬¢\9c\8e\85~wt¬¢\9c\8e\85~{t©\9f\95\8b\85{wq\85{tj`]VPSMC<62/,6/,"\1f\18\15\116/,%\1f\e\15\11C<6/,%"\1fVPF?92/,jd]SMF?9{qj`ZPMF\85wqg`ZSP\85~tjg]ZS\85{tjg]ZS\88~wmg`]S\85~tmd]ZS\85~wmg]ZS\88\81wmj`ZV\88\81wmg`]Z\8e\85{tjg`Z\92\85~tjg`Z\92\88\81wmj`]\95\88\81wmjd]\92\8b\85wqjg]\98\8e\85{qjj`\98\8b\85{tjg`\9c\8e\85{tmjd\9c\8e\85~tmjd\9c\8e\85~tmjd\9c\92\88~wqjg\9c\92\88~wqjg\9f\92\88\81{qjg\9f\92\8b\81wtmg\9f\92\88\81wqjj¬¢\9c\8b\85~wqûîáÚÍü¶ÿÿÿÿÿûñçÿÿÿÿûîçáÿþøîä×ÐÊûîä×ÐƼ¶áÓʼ¹¯©¢Ðƹ¯©¢\9c\92ƹ¯¥\9f\95\92\88À¶¬¢\98\92\8b\85ù¬¢\9c\92\8b\85À¹¬\9f\9c\92\8b\85ù¯¢\9f\92\8e\85ù¬¢\9c\92\8b\85ù¯¢\9c\92\8b\85ù¬¢\9c\92\8b\85¹¯©\9f\92\8b\85~\98\8b\85wtjg``VPF?992?92,("\1f\e?96/("\1f\ePI<62/,%`ZSIC<96tjdZSMFC\81wmg]VSP\88\81wmg`]V\8b\81wqj`]V\8b\85{qjd`Z\8b\85wmj`]V\88\85{qj`]Z\8b\85{qjd]V\8b\85{qjd]Z\8e\85~tmg`]\8e\85~tmgd]\92\88~wqjd]\95\8b\81wqjg`\95\8b\85wqjg`\98\8e\85{tmj`\98\8e\85~tjg`\9c\8e\85~wmjg\9c\92\88~wmjg\9c\8e\85{tmjd\9c\92\88\81wqjg\9f\92\88~wqjg\9f\92\88~wqmg\9f\92\88\81{qmj\9f\92\88\81wqmg\9f\95\8b\85{tmj©\9f\95\8b\85~wqøîä×ÍƼ¹ÿÿÿÿþøîäÿÿÿþûîçÝÿþûîäÚÓÊøîäÓÍƼ¶ä×Êù³¬¢Ðƹ¯©\9f\98\92ƹ¯©\9f\98\92\88ù¬¥\9f\92\8e\88À¹¬¢\9c\92\8b\85ù¬¢\9c\92\8b\85ù¬¢\9c\92\8b\85ù¯¥\9c\95\8b\85ù¯¥\9f\92\8b\85À¶¬\9f\98\8e\88\85¼¶¬\9f\98\8e\88\85¹³©\9f\95\8b\85\81¹¯¥\9f\92\88\85~¹¯¢\9c\92\88\85~¶¬¢\98\8e\85\81{¶¬¢\95\8e\85\81{³©\9f\95\8b\85~w³©\9f\92\88\85~w³©\9f\95\88\85{w¯¥\9f\92\88\81{t¯¥\9f\92\88\81{w¯¥\9c\92\88\81wt¯¥\9c\8e\88~{t¬¢\9c\8e\88~wt¬¢\9c\8e\85~wq¬¥\98\8e\85~{t¬¢\98\8e\85~wq¬¢\98\8b\85~wq¬¢\98\8b\85{wq©\9f\95\88\85~tm©¢\95\8b\85{wm©\9f\95\88\81{tm©\9f\92\8b\85{tq©\9f\95\8b\85~wm¥\9f\92\88\85{tm©\9f\95\88\85{wq©\9f\92\88\81{tm©\9f\92\88\81{wq¥\9f\92\88\85{tm¥\9f\92\88\81wtm¥\9f\92\88\85{tm¥\9f\92\88\81wqj¥\9f\92\88\81{tm©\9f\92\88\81wtj¥\9c\95\88\81wtj¥\9f\92\88\81wtm¥\9f\92\88\81wqj¥\9f\92\88\81wtj¥\9c\92\88\81wtm¢\9f\8e\85~wqj¥\9f\92\88\81{tm¢\9c\92\85~wqj¥\9c\92\88\81wqm¥\9f\92\88\81wqj¢\9c\92\85\81wqj¥\9f\92\88\81wqm¥\9c\8e\85~wqj¥\9f\92\85\81wtj¥\9f\92\88~wqj¢\9c\92\88~wqj¥\9c\92\85~wqj¢\9c\8e\85~wqj¥\9c\92\85\81wqj¥\9f\92\85~wqj¢\9c\92\88~wqj¥\9f\92\88\81wtj¥\9c\92\85~wqj¥\9f\92\85\81{qm¢\9c\8e\88~wtj¢\9c\92\88~wqj¥\9f\92\88\81wqm¢\9c\92\85~wqj¥\9f\92\88\81wqm¥\9c\8e\88~wtj¥\9c\92\85~wtj¥\9f\92\88\81{tm¢\9c\92\85~{tj¥\9f\92\85\81wtj¢\9c\92\88\81wqj¥\9c\92\88\81wqj¥\9f\92\88\81wtm¢\9c\92\88~wqj¥\9f\95\88\81wtm¥\9c\92\85\81wqm¥\9f\92\88~wtj¥\9c\92\88~wtj¢\9c\8e\85~wqj¥\9f\92\88\81wqm¥\9f\92\88\81wqj¥\9f\92\88\81wtm¥\9f\92\88\81wtm¥\9f\92\88\81{qm©\9f\95\88\85{tm¥\9f\92\88\81{tm©\9f\95\8b\85{tm©\9f\95\8b\85{wm©\9f\92\88\81{tm¥\9f\95\88\81{tm¥\9f\92\88\81wtm¥\9f\92\88\81{tm©\9f\92\88\81{tm¥\9f\92\88\81wqm©\9f\92\88\81{tm¥\9f\92\88\81{tj©\9f\95\88\81{tm©\9f\95\88\81{tm¥\9f\92\88\81{tm¥\9f\92\88\81{tm¢\9f\92\88\81{tj¥\9f\95\8b\81{tm¥\9f\92\88\81{tm¥\9f\95\88\81wtm¥\9f\92\88\85{tm¢\9f\92\88\81wtm©\9f\95\88\81wtm¥\9f\92\88\81wtm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\88~wqj¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9c\92\88\81wtj¥\9c\92\85~tqj~wmd]VPPSPF<62/,96/%\1f\1f\18\152,%\1f\18\15\11\v92,(\1f\e\18\15MF96/,("`ZSIC<96tj`ZSPIC~tmg]VPM\85~tjd]ZS\85~tjd]ZS\88\81wmg`ZS\85~tjg]ZS\88~tjg`ZS\88\81wmg]]V\88~wmg`]V\8e\85{qjd`Z\8e\85{qjd]Z\92\85~tmg`Z\92\88~tmjd]\92\88\81wqjd]\95\8b\81{qjg`\98\8e\85wqjg]\98\8b\85{tmj`\98\8e\85{tmjd\98\8e\85{tmj`\9c\92\88~wmjg\9c\8e\85~tmjd\9c\92\88~wmjd\9f\95\88~wqmg\9c\92\88~wqjg\9f\92\88\81wqmg\9f\92\88~wqmj\9f\95\88\81wtmj\9f\92\8b\81{tmj\9f\95\8b\81{tmj\9f\98\8e\85{tqj\9f\98\8b\85{tmj\9f\95\8e\85~tqj¢\98\8e\85{tmj\9f\98\8b\85{tmj¢\9c\8e\85~wqj\9f\95\8e\85~tqj¢\9c\8e\85~wqj¢\98\8e\85~tqj¢\95\8e\85~tqj¢\9c\8e\85~wqm¢\98\8b\85~tmj¢\9c\92\85~wqm¢\9c\8e\85~wqj¢\9c\92\85~wqj¥\9c\92\85\81wqj¢\9c\8e\85~wqj¥\9c\92\88~wqj¢\9c\92\85~wqj¢\9c\8e\85~wqj¥\9f\92\88\81wtm¢\9c\8e\85~wqj¥\9c\8e\85\81wtm¥\9c\92\85~wqj¢\9c\92\88\81wtj¥\9c\8e\88\81{tm¥\9c\92\85~wtj¥\9c\92\88\81{qm¥\9c\8e\85~wtj¢\9c\92\88~wtj¥\9c\92\88\81wtm¥\9c\8e\85~wqm¥\9c\92\88\81wtm¢\9f\92\88~{qm¥\9c\92\88\81{tm¥\9c\92\88\81wtm¢\9c\92\85~wtm¥\9c\92\88\81{tm¢\9c\92\88\81wtm¢\9c\92\88\81{tm¥\9f\92\88\81{tm¥\9c\92\88\81wtj¥\9f\95\88\81{tm¢\9c\92\85~wtm¥\9c\92\88\81wtm¥\9f\92\88\81wtj¢\9c\92\88\81wqm¥\9f\95\88\81{tm¥\9f\92\85~wtj¥\9f\92\88\81{tm¥\9f\92\88\81wtm¥\9c\8e\88~wtm¥\9f\92\88~{tm¥\9f\92\88~wqj¥\9f\92\88\81{tm¥\9c\92\88\81{tm¢\9c\92\88\81wqj¢\9f\95\88~wtj¢\9c\92\85~wtm¥\9f\92\88\81{tm¥\9c\92\85\81wtm¥\9c\92\88\81wtj¥\9f\92\88\81wtm¥\9c\92\85~{qm¥\9f\92\88\85wtm¥\9c\92\88\81{tm¥\9c\92\88\81wtm©\9f\92\88\81{tm¥\9c\92\88\81wtm¥\9f\92\88\81{tm¢\9f\92\85~wtm¥\9c\92\88\81wtm¥\9f\95\88\81{tm¥\9c\92\85~wtm¥\9f\92\88\81{tm¢\9f\92\88\81wtm¥\9c\92\85\81wqj¥\9c\92\88\81{tm¢\9c\8e\85~wtj¥\9f\92\88\81{tm¥\9c\92\88\81wtj¥\9f\92\88~wtj¥\9f\92\88\81wtm¢\9c\92\85~wtm¥\9f\92\88\81{tm¥\9f\92\88~wtm¥\9c\92\85~wqj¥\9f\92\88\81wqm¢\9c\92\85\81wqj¥\9c\92\88\81wtm¥\9c\92\85~wqm¥\9c\92\85\81wtm©\9f\98\8b\85~wq³©\9f\92\8e\85~w¹¬¥\98\8e\88\85~¬¢\9c\8e\88\81{t¢\9c\8e\85~wqm\9c\8e\88~tmjd\92\88\81tmjd]\8e\85~tmg`]\8e\85~tmg`Z\92\88\81wmjd]\92\8b\81wqjg`\95\8e\85{tmj`\9c\8e\85~tmjg\9c\8e\85~tmjd\9f\92\88\81wqjg\9f\92\88~wqjg\9c\92\88~wqjg\9f\95\88\81wqjg\9f\92\88~wqjg\9f\92\88\81wtmg\9f\95\88\81wtmj\9f\95\88\85{tmj\9f\95\8b\85{tmj\9f\92\8b\81{tmj\9f\98\8e\85{tmj\9f\95\8e\85{tmjd]SPF?<62/("\1f\18\15\11\v\ 4\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0]VMF?966ÿÿÿÿÿÿÿþÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîäÝÓÊù¯¥\9f\95\8e\88\81{qj`]ZP]SIC<66/g]SMC?<6wqg`ZSPF\8b\85wqjd]]\98\8b\85~tmj`¢\95\8b\85{tmj¢\9c\8e\85{tqj\9f\95\8b\85{tmj\9f\92\88\81{qmj\9c\92\85~tqjd\9c\92\85~wqjg\9c\92\85~wmjd\9f\8e\85~wqjg\9f\92\88\81wqjg\9f\95\88\81wtmj\9f\98\8b\85{tmj\9f\98\8b\85{tqj\9f\98\8e\85{tmj¢\95\8e\85~tqj\9f\95\8b\85~tqj¢\98\8e\85~wqj\9f\95\8e\85{tqj¢\98\8b\85~wqj¢\9c\8b\85~wqj¢\98\8e\85{tqj¢\9c\8e\85~wqm¢\98\8e\85~tqj¢\9c\8e\85~wtj¢\9c\8e\85~wqm¢\98\92\85~wqj¥\9c\92\88~wqm¢\98\8e\85~wqj¥\9c\8e\85~wqj¥\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\88~wtm¢\9c\92\85~wqj¥\9c\92\88~wqj¥\9c\8e\85~wtm¢\9c\8e\85\81wtj¥\9c\92\88\81wtm¥\9c\8e\85~wqj¥\9c\92\88\81wtm¥\9f\92\85\81wtj¢\9c\8e\85~wtm¥\9f\92\88~wtm¢\9c\8e\85\81wqj¥\9f\92\88\81wtj¢\9c\8e\85\81wtj¥\9c\8e\88~wqj¥\9c\92\88\81wtmj`VPIC?96/,%\1f\e\15\11\ e\a\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0¬¢\95\8e\85\81wÃÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîçÝÓÐƹ³©\9f\9c\92\8e\85{tjd]ZS`ZPFC<96wmg`VPPI\8e\85{qjd]Z\9f\92\85{tmj`\9f\92\88\81wqmj\9f\92\88\81wqmg\9c\8e\85{wqjg\98\8e\85{tmj`\92\8b\85wqjg`\98\8b\85{qjg`\95\8b\81wqjg`\95\8e\85{tmj`\9c\8e\85~tmjd\9c\92\85~tmjd\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\92\88\81wqjg\9f\95\8b\81{tjj\9f\92\8b\81wtmg\9f\95\8e\81{qmj\9f\95\8b\85{tqj\9f\95\8e\85{tmj\9f\95\8e\85{tmj\9f\95\8b\81{tmj¢\95\8e\85{tmj\9f\98\8e\85{tmj\9f\98\8b\85{tmj¢\98\8e\85~tqj\9f\95\8e\85{tmj¢\98\8e\85~wqj¢\9c\8e\85~tqj\9f\98\92\85~tqj¢\98\92\85~wqj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~tqj¢\98\8e\85{tqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqm¢\98\8e\85{wqj¢\9c\92\85~tqj¥\98\92\85~wtj¢\98\8e\85~wqj¢\9c\8e\88~wqm¢\9c\8e\85~tqj¢\9c\8e\85~tqj¢\9c\92\85\81wqm¢\98\92\85{tqj¥\9f\8e\85~wqj¢\9c\92\85~wqj¢\9c\92\85~wqm¥\9c\92\85~wtj¢\9c\8e\85~wqj¥\9c\92\85\81wtm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\98\92\85~wqj¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wtm¢\9c\92\88~{tj¢\9c\8e\85~wqj¢\9c\92\85~{tm¢\9c\8e\85~wqj¥\9c\8e\85\81wtm¥\9c\92\85~wtm¢\98\8e\85~wqj¥\9f\92\88\81{tm¥\9c\92\85~wqj¥\9c\8e\88~wtm¥\98\92\88~wtj¢\9c\8e\85~wqm¥\9c\92\85\81wtm¢\9c\8e\85~wqj¢\9c\92\88\81wqm¢\9c\92\85~wtm¥\9c\8e\85~wtm¢\9c\92\88~{qj¥\9c\8e\85~wqj¢\9c\92\85\81wtj¢\9c\8e\85~wtm¥\9c\8e\85~wqj¥\9c\92\88\81{tm¢\9c\92\85\81wqj¥\9c\92\85\81wtm¢\9c\8e\88~wqj¢\9c\92\85~wqj¥\9c\92\88\81wtj¢\9c\8e\85~wqm¥\9c\8e\88\81{tm¥\9c\92\85~wtm¥\9c\8e\88~wtm¥\9f\92\88\81{tm¥\9c\92\85~wqm¥\9f\92\88\81{qm¥\9c\92\85\81wtj¢\9c\92\85~wtm¥\9c\92\88\81{tm¥\9c\8e\85~wtj¥\9f\92\88\81{tm¥\9c\8e\88\81wtj¢\9c\92\85~wtm¥\9f\92\88\81wtm¥\9c\8e\85\81wtj¥\9f\92\88\81{tm¢\9f\92\85~wtm¥\9c\92\88\81{tm¥\9f\92\88\81{tm¢\9c\8e\85\81wtm¥\9f\92\88\81{tm¢\9c\92\85~{tm¥\9c\92\88\81{tm¥\9c\92\88\81{tm¥\9c\8e\88~wtm¥\9f\92\88\81{tm¥\9c\8e\88\81{tm¥\9c\8e\88\81wtm¥\9f\8e\88\81{tm¥\9c\92\85\81wtj¥\9f\92\88\81{tm¥\9c\8e\85\81wtj¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9c\8e\88\81wtj¥\9f\92\88\81{tm¥\9c\92\85\81wtj¥\9f\92\88\81wtm¥\9f\92\88\81{tm¥\9c\92\85~wtm¥\9f\92\88\81{tm¢\9c\8e\85~wtj¥\9f\92\88\81wtm¥\9f\8e\85\81wtj¢\9c\8e\85\81wtm¥\9c\92\88\81wtm¢\9c\8e\88\81{qj¥\9c\92\88\81wqm¢\9c\8e\85\81wtm¥\9c\92\88\81wqj¥\9c\92\88\81{tm¢\9c\8e\85~wtm¥\9f\92\88~{tm¥\9c\8e\85~{tm¥\9c\92\88~wtm¥\9f\92\88\81wtm¥\9c\92\85~{qj¥\9f\92\88~{tm¥\9c\92\88\81wtm¢\9c\8e\85~wtj¥\9f\92\88\81{tm¢\9c\8e\88~wtj¢\9c\92\88\81{wm¢\9c\8e\85\81wtm¢\9c\92\85\81wtj¥\9f\92\88\81{tm¢\9c\8e\85~wtj¥\9f\8e\85\81wtm¥\9c\92\85~wtm¢\9c\92\85~wtm¥\9c\92\85\81wtm¥\9c\8e\85~wtj¥\9f\92\88\81wtm¥\9c\8e\85~wqj¢\9c\92\85~wtj¥\9f\92\85\81{tm¥\9c\8e\85~wqj¥\9f\92\88\81{tm¢\9c\8e\88~wqj¢\9c\92\85\81wtm¢\9c\8e\88~wtm¥\9c\8e\85~wqjg]VPFC<92/("\1f\18\15\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0¬¥\98\8e\85\81wáÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñäÝ×Íù³©\9f\98\92\88\85{tjd]ZS`ZPIC<96wmj`VSPI\8b\81{qjd]Z\9c\8e\88~tmjg\9f\92\88~wqjg\9f\92\88\81wqjg\9c\8e\85{tmjd\95\8b\85{qjj`\95\8b\85{qjg`\92\88\81wqjg`\95\8e\85{tmg`\95\8e\85{tmg`\98\8e\85~tmj`\9c\8e\88{tmjd\9c\92\85~tmjd\9f\92\85\81wqjg\9f\92\88\81wqjg\9f\92\88\81wtjg\9f\92\8b\85wqjj\9f\92\88\81wqmg\9f\95\8b\85{qmj\9f\95\8b\81wtjj\9f\95\8b\85{tmj\9f\95\8e\85{wmj\9f\95\8b\85{tmj\9f\98\8e\85{tqj\9f\95\8b\85{tmj\9f\95\8e\85{wmj¢\9c\92\85~wqj\9f\95\8e\85~tmj¢\98\8e\85~wqj\9f\95\8e\85~tqj\9f\98\92\85~wmj¢\98\8e\85~wqj¢\98\8e\85~wmj¢\9c\8e\88~wqj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\9c\92\85~wqm¢\98\8e\85~wqj¥\9c\92\85~{tm¢\9c\8e\85~wqj¢\98\92\85~wqm¥\9c\8e\85\81wqm¢\9c\8e\85~wqj¥\9c\8e\85~wtj¢\9c\8e\85~wqj¢\9c\8e\85~wtj¢\9c\92\88~wqm¢\9c\8e\85~wqj¢\9c\8e\85\81{tm¢\9c\8e\85~wqm¥\9c\92\88~wqm¥\9c\8e\85~wqj¢\9c\92\85~wqj¥\9f\92\88\81wtm¢\9c\8e\85~wqm¢\9c\92\85\81{tj¢\9c\92\85~wqj¢\9c\8e\85~wqj¥\9c\8e\88\81{tm¢\9c\8e\85~wqj¥\9c\92\88\81wqj¢\9c\8e\85~wqm¢\9c\8e\85~wqm¥\9c\92\85\81{qm¢\9c\8e\85~wqj¢\9c\8e\88\81wtm¥\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9f\8e\88\81{tj¢\9c\8e\85~wqm¥\9c\92\88\81wtm¥\9c\92\85~wtm¥\9c\8e\85~wtj¥\9c\92\85~wtm¢\9c\8e\85\81wqj¥\9c\8e\88~{tm¢\9c\8e\88~wtj¢\9c\8e\85\81wqm¢\9c\8e\85\81wtm¢\9c\8e\85~wtj¢\9c\8e\88\81wwm¥\9c\8e\85~wqm¢\9c\8e\85~wtm¢\9c\8e\88\81wtm¢\9c\8e\85~wtj¢\9c\92\85~wtm¢\98\8e\85~wqj¢\98\8e\85~wtj¢\9c\8e\85~wtm¢\9c\8e\85~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wqj¥\9c\8e\88~wqj¥\9c\8e\85~{tj¢\98\8e\85~wqj¥\9c\8e\85~wtm¢\98\8b\85~wqj¢\9c\8e\85\81wtj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\92\88\81wtm¢\9c\8e\85~wtj¢\9f\8e\85~wqj¢\9c\8e\88~wqm¢\9c\8e\85~wqj¢\9c\8e\88~wtm¢\9c\8e\85~wqj¢\9c\8e\85~wtm¢\98\8e\85~wqjg]VPIC<66/,%\1f\e\15\11\v\ 4\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\15¬¢\98\8e\85\81{ôÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿøîçÝÓÍƹ¯©\9f\98\92\88\85{qj`]ZP]VPI?<62wmg]ZPPF\88\85wmj`]Z\98\8e\85{tmjd\9f\95\88\81wqjg\9c\8e\85~tmjd\98\8e\85~tmj`\92\8b\85wqjg`\92\88\81wqjg]\95\8b\81wqjg`\95\8b\81wqjg]\95\8b\85{tjj`\98\8e\85{tmg`\9c\8e\85{tmj`\9c\92\88~tmjd\9c\92\85~wqjd\9f\92\88\81wqmg\9f\92\88~wqjg\9f\92\88\81wqmg\9f\95\88\81{qmg\9f\95\88\81wqjg\9f\95\8b\81{tmj\9f\92\88\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj¢\98\8e\85{wqj\9f\95\8e\85{tmj\9f\98\8b\85{tqj¢\98\8e\85{tqj\9f\98\8b\85~tmj¢\98\8b\85~wqj\9f\95\8e\85~tqj\9f\98\8e\85~wqj¢\98\92\85~tqj\9f\98\8e\85~tqj¥\9c\92\85~wqj¢\98\8e\85{wqj¢\98\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¥\9c\8e\85~wtj¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85{wmj¢\9c\92\85~wqj¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\9c\92\85\81wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\92\85~wqj¢\9f\92\85~wqj¢\9c\8e\85{wqj¢\9f\8e\85~wtm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85\81wqj¢\98\8e\85{wqj¥\9c\92\85~{qj¢\98\92\85\81wqj¢\9c\8e\85~wtj¢\9c\92\85~wqm¢\9c\8e\85~wqj¢\9f\92\85~wtm¢\9c\8e\85\81wqm¢\9c\92\85~wtj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\92\85~wqm¢\98\8e\85~wqj¢\98\92\85~wtj¢\9c\92\85~wqm¢\98\8e\85~wqm¢\9c\92\85\81wqm¢\9c\8e\85~wqm¢\9c\8e\85~wtj¢\9c\8e\85~wqm¢\98\8e\85~wqm¥\9f\92\88\81wqm¢\9c\92\85~wqj¢\9c\92\85~wqm¢\9c\92\85~wqm¢\9c\8e\85~wqj¥\9c\92\85\81{tm¢\98\8e\85~wqj¥\9c\8e\85~wqm¢\9c\92\85~wqj¢\98\8e\85~wqj¥\9c\8e\85\81wtm¢\9c\92\85~wqj¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\88~wqj¢\98\8e\85~{qj¢\9c\92\88~{tm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¥\9c\92\88~wqj¢\98\8e\85~wqj¥\9c\8e\85~wqm¢\9c\92\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wqj¥\9c\92\88~{tmg]VPIC?96/,%\1f\e\18\15\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\9f\95\8b\85~wÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñäÝ×Íƹ¯©\9f\98\92\88\81{mj`ZVP]VPFC<92wmd]VPIC\8b\85wqjd]Z\98\8e\85{tmjd\9c\92\85~wqjg\9c\8e\85~wqmd\95\8e\85{tmjd\95\8b\81{tjg`\92\88~tmjd]\92\8b\81wqjg]\95\8b\81wqjg`\95\8b\81wqjg]\98\8e\85{tmj`\98\8e\85{tmjd\9c\92\85~wqjg\9c\92\85~wqjg\9c\92\85~wmjg\9f\95\88\81{qmg\9c\92\85~wqjg\9f\92\88\81{tmg\9f\92\88\81wqmg\9f\92\88\81{tmj\9f\95\88\85{tqj\9f\95\88\81{qmj\9f\95\88\85{tmj\9f\95\8b\85{tmj\9f\92\8b\81{tmj\9f\98\8b\85{tmj\9f\92\88\81{tmj\9f\98\8e\85{wmj\9f\98\88\85{tqj¢\95\8b\85{tqj¢\98\8e\85~tqj\9f\95\8e\85{tmj¢\9c\8b\85~wqj\9f\98\8b\85{tqj¢\95\8b\85{tqj¢\9c\8e\85~wqj\9f\95\8e\85{wmj¢\9c\8e\85~wqj¢\98\8b\85{tmj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8b\85~wqj¢\9c\8e\85~wtj¢\98\8e\85~wqj¢\95\8e\85~wtm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85\81wqm¢\9c\8e\85~wqj¢\9c\8e\85~wtm¢\9c\92\85~wtm\9f\98\8e\85{wqm¢\9c\8e\85\81{tm¢\9c\92\85~wqj¢\9c\8e\85~wtm¥\9c\8e\85~wtj¢\9c\8e\85~wqj¢\9c\92\85\81wtm¢\98\8e\85~wqj¢\98\8e\85~wtm¢\9c\8e\85~{tm¢\9c\92\85\81wtj¢\9c\92\85~wtm¢\9c\8e\85~wtj¥\9c\92\88\81{qm¢\9c\92\85\81wqm¢\9c\8e\85~wtm¥\9c\92\88\81{tm¢\9c\8e\85~{qj¥\9c\92\85\81wtm¥\9f\8e\85~wqj¢\9c\92\85~wtm¥\9f\92\88\81{tm¢\98\92\85~wqj¥\9c\92\88~wtm¥\9c\92\85~wqj¢\9c\92\85~wtj¢\9f\92\88\81{tm¢\9c\92\85~wqj¢\9c\92\85\81wqm¢\9c\92\85~wtj¢\9c\92\85~wqm¢\9c\92\88~wqj¢\9c\8e\85~wqj¢\9c\92\88\81{tj¢\9c\8e\85~wqj¢\9c\92\85~wqj¢\9c\92\88\81wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\88~wqm¢\98\8e\85~wqm¢\9c\92\85\81wqm¢\9c\8e\85~wqj¢\9c\8e\85~wtm¢\98\8e\85~{qm¢\9c\8e\85~wqj¢\9c\92\85~wqm¢\95\8e\85~wqm¢\98\8e\85~wtj¢\9c\8e\85~wqj¢\9c\8e\85~wqjd]SPFC<92/("\1f\18\15\11\v\ 4\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\9f\98\8e\85~wÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûñçÝÓÍü¯¥\9f\95\92\88\81wqj`]VP`VPFC<92tmg]VPMF\8b\85wmj`]Z\9c\8e\85{tmjd\9c\92\88~wmjd\9c\92\88~wmjg\98\8e\85~tmjd\95\88\81wqjg]\95\88\81wqjg`\92\88\81wqjd]\92\88\81wqmg`\95\8b\85wqjg`\98\8b\85{qjjd\98\8e\85{tmjg\98\92\85{tmjd\9c\92\88\81wqjg\9c\8e\85~wqjg\9c\92\88\81wqmg\9f\92\88\81wtjg\9f\92\88\81wqjg\9f\95\88\81{tmj\9f\92\88\81wqjg\9f\92\88\81wqmg\9f\95\88\81{tmj\9f\95\88\81wqmg\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\92\8b\85{tmj¢\98\8b\85{tmj\9f\95\88\81{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tqj\9f\95\8b\85{wqj\9f\98\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8e\85~wqj\9f\95\8b\85~tqj¢\95\8b\85~wqj¢\98\8e\85~wqj¢\98\8b\85{tmj¢\9c\8e\85~wqj¢\98\8b\85{wqj\9f\9c\8e\85~wqj¢\98\8e\85~wqm\9f\98\8b\85~wqj¢\98\92\85~wqj¢\95\8e\85~wqj¢\9c\8e\85~wtj¢\98\8e\85~wqj¢\98\8e\85{wqj¢\9c\8e\88~wtj¢\98\8e\85~wqj¢\95\8e\85~wtj¢\95\8e\85~wqm¢\98\8e\85~wqm¢\9c\8e\85~wtm¢\9c\8b\85~wqj¢\9c\8e\85~wqj¢\9c\8b\85~ttj¢\9c\92\85~wtj¢\9c\8e\85~wtj¢\98\8e\85~wtj¢\9c\8e\85~wtm¢\98\8b\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85\81wtm¢\98\8e\85~wqj¥\9c\8e\85~wtm¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wtm¢\98\8e\85{wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wqj¢\9c\8e\85~wtm¥\9c\8e\85~wqj¢\98\8e\85~wqm¢\9c\8e\85~wtm¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9f\8e\85~wqj¢\9c\8e\85~wqm¢\9c\8e\85~wtm¢\98\8e\85~wqj¢\9c\8e\85~{tm¢\9c\8e\85~wtj¢\9c\92\85~wqm¢\98\8e\85~wtm¢\9c\92\85~wtj¢\9c\8e\85~{tm¢\98\8e\85~wtm¢\9c\92\88~wqm¢\9c\8e\85~wtm¢\98\8e\85~wqm¢\9c\8e\85~wtm\9f\98\8e\85~wtj¢\98\8e\85~wqm¢\98\8e\85\81wtj¢\9c\8e\85~wqj¢\9c\92\85~wtm¢\9c\8e\85~wqj¢\9c\8e\85~wtj¢\9c\92\85\81wqm¢\9c\8e\85~wtj¢\9c\8e\85\81wtm¢\9c\8e\85~wtj¢\9c\92\85~wtm¢\9c\8e\88~wqjg]VPFC<66/("\1f\18\15\11\v\ 4\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0P¬¢\95\8e\85\81{ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîçÝ×Íƹ¯©\9f\9c\92\88\85{qj`]VP`VPFC<96wmg]ZPMF\88\85wqjd]Z\98\8e\85~tqjd\9f\92\88~wqjg\9c\92\85~wqjg\9c\8e\85~wqjd\95\8b\85{qjg`\92\88\85wqjg`\95\8b\81{qjg`\92\88\81wmjg`\95\8b\85{tmj`\98\8e\85{tmjd\98\8e\85~wmjd\9f\92\85\81tqjg\9c\8e\88~wqjg\9f\92\88\81wtmg\9c\92\88~wqjg\9f\92\88\81wqmg\9f\95\88\81{tmj\9f\92\88~wtmg\9f\95\8b\85{tmj\9f\92\88\81wqmg\9f\95\88\85wtmj\9f\92\8b\81{tmj\9f\95\88\81{qjg\9f\95\8b\81{tqj\9f\95\88\81{tmj\9f\95\8e\81{tqj\9f\95\8b\85{tmj\9f\95\8b\81{tmj¢\98\8e\85{tmj\9f\98\8b\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85{tqj¢\9c\8e\85~wqj\9f\95\8e\85{tmj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj\9f\95\8e\85~tqj¢\98\8e\85{wqm¢\98\8e\85~wmj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\9c\92\85~wqm¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\95\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85{wqj¢\9c\8e\85~wqm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\98\8b\85~wqj¢\9c\8e\88~wqm¢\9c\8e\85~tmj¢\98\8e\85~wqj¢\9c\92\85~wqj¢\98\8b\85{wqj¢\9c\8e\85\81wqm¢\95\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\98\8e\85{wqj¥\98\8e\85\81wtm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\9c\8e\85\81wqm¢\98\8e\85~wqj¢\9c\8e\85~{qm¢\9c\8e\85~wtj¢\98\8e\85~wqj¥\9c\8e\88\81wtm¢\9c\8e\85~wqm¢\98\8e\85\81wtj¢\98\8e\85~wtm¢\9c\8e\85~wqj¢\9c\8e\85~wtm¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~wqm¢\98\8b\85~wqj¢\9c\8e\85~wtm¢\95\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wtj¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\98\8e\85{tqj¥\9c\92\85~wqm¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\9c\8e\85~wtm¢\98\8e\85~wqj¢\9c\8e\85~wtj¢\98\8e\85~wtj¢\9c\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wqj¢\98\8e\85~wtjg]SPFC<62/("\1f\18\15\11\v\ 4\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\9f\95\8b\85~wÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿøîäÝÓÊù¯¥\9f\95\92\88\85{qj`]ZS`VPIC<96tmg]VPMF\8b\85{qjg`Z\95\8e\85{tmj`\9c\92\85~wqjg\9c\92\85~tqjg\95\8e\85{tmj`\95\8e\85{qjg`\95\88\81wmjd]\92\88\81wqjg]\92\8b\81wqjg`\95\8b\81wqjg`\98\8e\85{tmj`\98\8e\85{tmg`\9c\8e\85~wmjd\9c\92\85~wqjd\9c\92\88~wmjd\9f\92\88\81wqjg\9c\92\85~wqjg\9f\92\88\81{qmg\9f\92\88\81wqjg\9f\92\88\81wqmg\9f\92\88\85{tmg\9f\95\88\81wqmg\9f\95\8b\85{tmj\9f\95\88\81{tmj\9f\92\88\85{qmj\9f\95\8b\85{wmj\9f\95\8b\85{tmj¢\95\8b\85~tmj\9f\95\8b\85{tmj\9f\95\8b\85{tmj¢\95\8e\85{wmj\9f\95\8b\85{tqj\9f\98\8e\85~wqj\9f\95\8e\85~tmj\9f\95\8e\85{wmj¢\95\8e\85{wqj¢\95\8e\85~wqj¢\95\8e\85~wmj\9f\95\8b\85{wqj¢\95\8e\85~tqj¢\98\8e\85~wqj\9f\98\8e\85{wqj¢\9c\8e\85~wqj¢\98\8e\85{wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\98\8b\85{wqj¢\98\8e\85~wqj¢\9c\8e\85{wqj\9f\95\92\85~wmj¢\9c\92\85\81wqj¢\98\8e\85{wqj¢\9c\8e\85{wqj¢\9c\8e\85{wqj¢\98\8e\85~tqj¢\9c\8e\85~{qj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85\81wqj¢\9c\8e\85{wqj¢\9c\92\85~wqj¢\98\8b\85~wqj¢\9c\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\92\85~wqm\9f\95\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqj\9f\98\8b\85~tqj¢\9c\8e\85~wqj\9f\9c\8b\85~wqj¢\98\8e\85{wqj¢\98\8e\85~wqj\9f\95\8e\85~wqj\9f\9c\8e\88~{qj¢\98\8b\85{wqm¢\98\8e\85~wqj¢\98\8e\85{wmj\9f\98\8e\85~wqj¢\9c\8e\85~wqm¢\95\8b\85~tqj¢\98\8e\85\81wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85{wqj¢\9c\8e\85~wqj¢\98\8e\85{wqj¢\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\95\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\9c\8e\85~wqj¢\9c\8e\85~wqj¢\95\8e\85~wqj\9f\98\8b\85~wqj¢\9c\8e\85~wqj\9f\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8b\85~tqjd]SPFC?62/("\1f\18\15\11\v\a\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\9f\92\8e\85\81wÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîçÝ×Íù¯¥\9f\95\8e\88\81wmj`]VP]VPF?<62tmd]VPMF\8b\81wmj`]V\98\8e\85{wmjd\9c\8e\85~tmjd\9c\92\85~tmjg\98\8e\85{tmg`\92\88\81wqjg]\92\88\81wqjg]\8e\88~wmjd]\92\8b\81wqjg]\95\88\81wqjg`\95\8b\85{qjg`\98\8e\85~tmjd\98\8e\85{tmj`\9c\8e\85~wmjg\9c\92\85~wmjg\9c\92\85~wqjg\9f\92\88\81wqmg\9c\92\85~wqmg\9f\95\88\81{qmg\9f\92\88~wtjg\9f\92\88\81wqmj\9f\95\88\81{tmj\9f\92\88\81wtmj\9f\95\8b\85{tmj\9f\92\88\85{tmj\9f\95\88\81{tmj\9f\92\8b\85{tqj\9f\95\88\85{tmj\9f\95\8b\85{tqj\9f\95\88\85{tmj\9f\95\88\85{tmj¢\98\8b\85~wqj\9f\95\8b\85{tmj\9f\98\8e\85{tqj\9f\95\8b\85~wmj\9f\98\8b\85{wqj¢\98\8e\85~tqj\9f\95\8b\85{wmj¢\98\8e\85~wqj\9f\95\8b\85{tmj¢\98\8b\85~wqj¢\98\8e\85~tqj\9f\95\8b\85{tmj¢\9c\8e\85{wqj¢\95\8b\85~wmj\9f\98\8e\85{wqj¢\98\8b\85~wqj\9f\98\8b\85{wqj¢\9c\8e\85~wqj\9f\98\8e\85~tqj¢\98\8e\85{wqj\9f\95\8b\85{wqj¢\95\8b\85~wqj¢\9c\8e\85~wqj\9f\98\8e\85~tmj¢\98\8e\85~wqj¢\9c\8e\85~wqj\9f\98\8e\85~wqj¢\9c\8e\85~wqj\9f\98\8e\85~wqj¢\9c\8e\85~wtj¢\9c\8e\85~wqj\9f\98\8e\85~wqj¢\9c\8e\85~{qm¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm¢\98\8e\85~wqj¢\98\8e\85~wtm¢\98\8b\85{wqj¢\9c\8e\85~wqm\9f\9c\8e\85~wqj\9f\98\8e\85~wqj¢\9c\8e\85~wqj\9f\98\8e\85{wqj¢\9c\8e\85\81wtm¢\98\8e\85~wmj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8b\85{wqj¢\98\8e\85~wqm¢\98\8e\85{tmj¢\9c\8e\85{tqj¢\9c\8e\85~wqj\9f\95\8e\85{wqj¢\9c\8e\85~wqj\9f\98\8e\85{tqj\9f\98\8e\85~wmj¢\98\8e\85{wqj\9f\98\8e\85{tqj¢\98\8e\85~wqj\9f\98\8b\85~wmj¢\9c\8e\85~wqj\9f\95\8e\85~wqj\9f\98\8e\85{tqj¢\9c\8e\85~wqj¢\95\8e\85{tqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqj¢\98\8e\85~wqm\9f\98\8e\85{wqj¢\98\8e\85~wqj¢\98\8e\85~wqm\9f\98\8e\85~wqj¢\98\8e\85~wtj\9f\98\8e\85{wmj¢\98\8e\85{wqj¢\98\8e\85{wqjd]VPFC?96/("\1f\e\18\11\ e\a\ 4\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\88¬\9f\98\8b\85~wÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîäÝ×Íù¯¥\9f\98\8e\88\85wqj`]VS]VPF?<62tmd]VPMF\88\81wmj`]V\95\8b\85{tmj`\9c\92\85~wqjg\9c\8e\85~tqjd\98\8e\85{tmjd\95\88\81wqjg`\92\88\81tqjg]\92\88\81wqjg]\92\88~wqjg`\95\8b\85wqjg`\98\8e\85{qjg`\98\8e\85~tmjd\9c\8e\85~wqjd\9c\8e\85\81wqjd\9f\92\88\81wqmj\9c\8e\85~wqjg\9f\92\88~wqmg\9f\92\88\81{qmg\9c\92\88\81wqmg\9f\95\88\81{tmj\9f\92\88\81wtmg\9f\92\88\81{tmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\95\8b\85{tmj\9f\92\88\81{tmj\9f\95\8b\85{tmj¢\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\8b\85{wqj\9f\92\8b\85{tmj\9f\98\8b\85{tqj\9f\95\8b\85{tqj\9f\95\88\85{tmj\9f\95\8b\85{wqj\9f\98\8b\85{wmj\9f\98\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8b\85{tmj¢\95\8e\85~wqj\9f\95\8b\85~tqj¢\98\8e\85{wqj\9f\95\8b\85~wqj\9f\95\8b\85~wqj¢\98\8b\85~wqj\9f\95\8b\85~wmj¢\98\8e\85~wqj¢\98\8b\85{tqj\9f\98\8b\85~tqj¢\98\8e\85~wqm\9f\98\8b\85~tqj¢\9c\8e\85~wqj¢\98\8e\85~wqj\9f\95\8e\85~wqj¢\98\8e\85~wqj\9f\95\8b\85{wqj¢\9c\8e\85~wtj\9f\98\8b\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj\9f\98\8b\85~wqj¢\9c\8e\85~wtj\9f\95\8b\85{wqj\9f\98\8b\85~wqj¢\98\8b\85~wqj¢\98\8b\85{wqj¢\98\8e\85~wqj\9f\95\8e\85{tqj¢\98\8b\85{wqj¢\98\8b\85~wqj\9f\98\8e\85{tmj¢\98\8e\85~wqj\9f\98\8b\85{tqj¢\98\8e\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wmj¢\98\8b\85~wqj\9f\95\8b\85{wqj¢\98\8e\85{tqj\9f\98\8b\85~wqj¢\98\8b\85{wqj¢\9c\8e\85~wqj¢\98\8b\85{tmj¢\98\8e\85~wqj¢\98\8b\85{wqj\9f\98\8b\85{tmj¢\98\8e\85~wqj\9f\95\8b\85{tqj\9f\98\8b\85{wqj¢\98\8e\85{wqj\9f\98\8e\85{wqj¢\98\8e\85~wqj\9f\98\8b\85{wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj¢\98\8b\85{wqj\9f\98\8e\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wqm\9f\95\8e\85~wqj\9f\95\8b\85{wqj¢\98\8e\85{wqj\9f\95\8b\85{wqj¢\98\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8b\85~tqj¢\9c\8e\85~wqj¢\95\8e\85~wqj¢\98\8e\85~wqjg]SPF?<62/("\1f\18\15\ e\v\ 4\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\9f©\9f\95\8b\85~wÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿûîäÝÓÍù¯¥\9f\95\8e\88\81wmj`]VP`VPI?<92tmg]SPIF\8b\85wqjg]Z\95\8b\85{tjj`\9c\92\88~wqjg\9c\8e\85~wqjg\95\8b\85{tmg`\95\8b\85wqmj`\92\88~wqjd]\92\88\81wqjg`\92\88\81wqjg`\95\88\81wqjg`\98\8e\85{tmjd\95\8e\85{tmjd\9c\92\85~tqjg\9c\8e\85~wqjg\9c\92\85~tmjg\9f\92\88~wqjj\9c\92\85~wqjg\9f\92\88\81{qmg\9c\92\88\81wtjg\9f\92\88~wqjj\9f\92\8b\81{tmj\9c\92\88~wqjg\9f\92\88\81{qmg\9f\95\88\81wtjg\9f\92\88\81wtmg\9f\95\8b\85{tmj\9f\95\88\81{tmj\9f\95\88\85{tmj\9f\95\8b\85wtmj\9f\92\88\81{tmj\9f\95\8b\85{tmj\9f\95\88\81{tmj\9f\95\8b\85{tmj\9f\95\88\85{tmj\9f\95\8e\85{tmj\9f\98\8e\85{tmj\9f\95\88\81{tmj\9f\95\8b\85~wqj\9f\98\88\85{tmj\9f\95\8b\85{tmj\9f\98\8b\85~wqj¢\95\8b\85{tmj¢\95\8b\85{wqj\9f\95\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wmj\9f\98\8b\85{tmj\9f\95\8b\85~wqj\9f\95\8b\85{tqj\9f\98\8b\85~wqj\9f\98\8e\85{wqj\9f\95\8b\85{tqj¢\98\8b\85~tqj\9f\95\8b\85{tqj\9f\95\8b\85{wmj¢\98\8e\85{tqj\9f\95\8b\85~tmj\9f\95\8e\85~wqj\9f\95\8e\85{tqj¢\95\8b\85~wqj\9f\98\8e\85{wqj\9f\98\8b\85{wqj¢\98\8e\85~wqj\9f\95\8b\85~tmj¢\95\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85{wqj¢\98\8e\85~wqj\9f\95\8e\85{tqj¢\95\8e\85~wqj¢\98\8b\85~wmj¢\98\8b\85~wqj¢\98\8e\85~wqj\9f\95\8b\85~tqj\9f\98\8e\85~wqj¢\9c\8e\85~wqj\9f\95\8b\85{wqj¢\98\8e\85~wtj¢\98\8b\85{wqj¢\98\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8b\85{wqj¢\9c\8e\85~wqm¢\95\8b\85~wmj¢\9c\8e\85~wqj\9f\98\8b\85~wqj¢\98\8e\85~wqj¢\98\8b\85~wqj\9f\98\8b\85{wqj¢\9c\8e\85~wqm¢\98\8b\85~wqj¢\95\8b\85~wqj¢\95\8e\85~wtj\9f\95\8b\85{wtj¢\98\8e\85~{qj\9f\98\8e\85{wqj\9f\95\8b\85~wqj¢\9c\8e\85~wqj\9f\98\8e\85~wmj¢\98\8e\85~wqj¢\95\8b\85~wqj¢\98\8b\85~wqj¢\95\8b\85~wqj¢\98\8b\85~wqj¢\98\8e\85~wqj¢\95\8b\85{wqj¢\95\8b\85{wqj¢\98\8e\85~wqj¢\98\8e\85{wqj`]SMF?96/,(\1f\e\15\11\ e\a\ 4\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0³©\9f\92\8b\85~wÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿøîçÚÓÊù¬¥\9f\95\8e\88\81wmj`]VP]VPF?<92tjd]VPMF\88\81wmg`]Z\98\8b\85{tmjd\9c\8e\85~tmjd\98\8e\85~tqjg\95\8e\81{tmj`\92\88\81wqjd`\92\88~wmjg]\92\85~tjjd]\92\88\81wqjg]\92\88\81wqjg`\92\8b\81wqjg`\98\8e\85{tmj`\98\8e\85{tmj`\98\8e\85{tmjd\9c\8e\85~tmjd\9c\92\85~tmjd\9c\92\88~wqjg\9c\92\85~wqjd\9c\92\88\81wtjg\9c\92\85~wqjg\9c\92\85~wqjg\9f\92\88\81wtmg\9f\92\88~wqjg\9f\92\88\81wqmg\9f\92\88\81wqjg\9f\92\88\81wqmj\9f\95\88\81{tmj\9f\92\88\81{tmj\9f\95\88\85{tqj\9f\92\88\81wtmj\9f\95\88\81{tmj\9f\95\8b\85{tmj\9f\95\8b\81{tmj\9f\98\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8e\85{tqj\9f\95\8b\85~tqj\9f\95\8b\85{tmj¢\98\8e\85~wqj\9f\95\8b\85{tqj\9f\95\8b\85~tqj\9f\95\8e\85{wqj\9f\98\8b\85{tmj\9f\98\8e\85~wqj\9f\98\8b\85{tmj\9f\98\8e\85~wqj\9f\95\8b\85~wqj\9f\92\8b\85~tmj¢\9c\8e\85~wqj\9f\95\8e\85{tmj¢\98\8e\85{wqj\9f\98\8b\85{wqj\9f\98\8b\85{tmj¢\98\8e\85~wqj\9f\95\8b\85{wqj¢\98\8b\85{wqj\9f\95\8b\85{wmj\9f\98\8b\85{tqj¢\98\8e\85{wqj\9f\95\8b\85{wmj¢\98\8e\85~wqj\9f\95\8b\85{tqj¢\98\8b\85{tmj¢\98\8e\85~wqj\9f\95\8b\85{wqj¢\98\8e\85~wqj\9f\98\8b\85~wqj\9f\98\8b\85~tqj¢\9c\8e\85~wqj\9f\98\8b\85~wqj¢\98\8e\85~wtj¢\98\8b\85{wqj¢\98\8e\85~wmj¢\98\8e\85~wqj\9f\98\8b\85~wmj¢\98\8e\85~wqj\9f\98\8e\85{wqj¢\95\8b\85~wqj¢\98\8e\85~wqj\9f\98\8b\85{wqj¢\98\8e\85\81wqm\9f\98\8e\85~wqm\9f\98\8b\85~wqj¢\98\8e\85~wqj¢\95\8b\85~tqj¢\98\8e\88~wtm\9f\98\8e\85~tqj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\98\8b\85{wqj¢\9c\8e\85~wqj¢\98\8e\85~wmj¢\98\8e\85{wqj¢\95\8e\85~wmj¢\95\8e\85{wmj¢\98\8e\85~wqm¢\95\8b\85{wqj¢\98\8b\85~wqj¢\98\8e\85{wqj¢\98\8b\85~wmj¢\98\8e\85~wtj\9f\98\8b\85{wmj¢\98\8b\85~tqj\9f\95\8e\85~wqj\9f\95\8b\85{tmj¢\9c\8e\85~wqj\9f\98\8b\85~wqj¢\98\8e\85~wqj\9f\95\8b\85{wqjd]SMFC<62/("\1f\18\15\ e\a\ 4\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0¼©\9f\95\8b\85~wÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿþøîäÚÓÊù¬¥\9f\95\8e\88\81wmj`]VP]VMF?<62tjd]VPMF\88\81wmj`ZZ\98\8b\81{tmj`\9c\92\85~wmjd\98\8e\85{tmjd\98\8e\85{tmj`\92\88~wqjd]\92\85~wmj`]\92\88~tmjd]\92\85~wmjd]\92\88\81wqmg`\92\8b\81wqjg`\95\8b\85{tmj`\98\92\85~tmjd\98\8e\85{tmjg\9c\8e\85~wqjg\9c\8e\85~tmjg\9c\92\85~ttjg\9c\92\85~wqjg\9c\8e\85~wqjg\9c\95\88~wtmg\9c\92\88\81wqjg\9f\92\88\81wtmj\9f\92\88\81wtmj\9f\92\88\81wtmg\9f\92\8b\81{tmj\9f\92\88\81wtmj\9f\95\88\81{tmj\9f\92\88\85{tmj\9f\92\88\81{tmj\9f\95\8b\85~tqj\9f\95\88\85wtmj\9f\92\8b\81{tmj\9f\92\8b\85{wmj\9f\95\8b\81{wmj\9f\95\8b\85~wqj\9f\95\88\85{tmj\9f\92\8b\85{tqj\9f\98\8b\85{tqj\9f\95\8b\85{tmj\9f\95\8b\85~wqj\9f\95\88\85{tmj\9f\98\8e\85~wqj\9f\9c\8b\85{wqj\9f\95\8b\85{tqj\9f\98\8e\85~wqj\9f\95\8b\81{wmj\9f\95\8b\85{wqj\9f\98\8b\85{tqj\9f\95\8b\85~wmj¢\98\8e\85~wqj\9f\98\8b\81{tqj¢\98\8e\85~wqj\9f\98\8b\85{tqj\9f\98\8e\85~tqj¢\98\8e\85{wqj\9f\95\8b\85{wmj¢\98\8e\85~wqj\9f\95\8e\85~wqj¢\98\8b\85{wqj¢\95\8e\85~wqj\9f\95\8b\85{wqj¢\9c\8e\85~wtj\9f\95\8b\85~tmj¢\98\8e\85~wqj¢\98\8e\85~wqj\9f\95\8b\85{wqj¢\9c\8e\85~wqj¢\95\8b\85~wqj¢\98\8e\85~wqj\9f\98\8e\85~wqj\9f\95\8b\85~wqj¢\98\8e\85~wqm\9f\95\8b\85~wqj\9f\98\8e\85{wqj\9f\98\8e\85~wqj\9f\95\8b\85{wqj\9f\9c\8e\85~wqj\9f\98\8b\85~tmj\9f\95\8e\85~wqj\9f\98\8e\85{wqj\9f\98\88\85{wmj¢\9c\8b\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wqj¢\98\8e\85{wqj\9f\95\8b\85{wmj\9f\98\8e\85~wqj\9f\95\8b\85~wqj\9f\98\8e\85~wmj\9f\95\8e\85~wmj\9f\95\8b\85{wqj¢\98\8e\85~wqj\9f\98\8b\85{tqj\9f\98\8e\85{wmj\9f\95\8e\85{wqj\9f\95\8b\85{tmj¢\98\8e\85~wmj\9f\95\88\85{tmj\9f\98\8b\85~tqj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\9f\98\8b\85~tqj\9f\95\8b\85{wqj\9f\95\8b\85{wqj\9f\95\8b\85{wmj\9f\95\8b\85{tmj\9f\98\8e\85~wqj\9f\95\8b\85{tmj\9f\98\8b\85~wqjd]SMFC<96/("\1f\e\15\11\v\a\ 4\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0¼¥\9c\92\88\85{tÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿøîäÚÓÊÀ¹¬¥\9f\92\8e\88\81wmg`]SP]SPF?966tj`ZSPIF\88\81wmj`]V\92\8b\81wqjg`\98\8e\85{tmjd\98\8e\85~tmj`\92\8b\81wqjg`\92\88\81wmjd]\8e\85{tmj`]\92\85~tmj`]\92\88~tqjd]\92\88~tmjd]\95\8b\81wqjg`\92\8b\81wtmg`\98\8e\85{tmjd\98\8e\85{tmjd\95\92\85{tmjd\9c\8e\85~wqjg\98\8e\85{tmjg\9c\92\85~tqjg\9c\92\85~wqjg\9c\8e\85~wqjg\9c\92\85~wqmg\9c\92\85~wqjg\9c\92\88~{qmj\9c\92\88\81wqjj\9c\92\88~wtmj\9f\92\88\81{tmj\9c\92\88\81wqmj\9f\92\88\85{tmj\9f\92\88\81{qmj\9f\92\88\81wtmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81{tmj\9f\92\88\81wtmj\9f\95\88\81{tmj\9f\95\8b\85{wmj\9f\95\88\81wtmj\9f\95\8b\81{tmj\9f\95\88\81{tmj\9f\92\88\85{tmj\9f\95\88\85{tmj\9f\95\88\81wtmj\9f\95\88\85{wmj\9f\92\88\85{tmj\9f\92\88\85{tmj\9f\95\8b\85{wqj\9f\95\8b\85{tmj\9f\95\8b\85{wqj\9f\92\88\85{tmj\9f\95\88\81{tqj\9f\95\8b\81{tmj\9f\92\88\81{tmj\9f\95\8b\85{tqj\9f\92\88\85{tmj\9f\95\8b\81{wmj\9f\95\8b\85{wmj\9f\95\88\85{tmj\9f\98\8b\85{wqj\9f\95\88\85{wqj\9f\98\8b\85{tqj\9f\98\8b\85{wqj\9f\95\8b\85{tqj\9f\95\8b\85{wqj\9f\92\8b\85{tqj\9f\95\88\85{wqj\9f\95\88\85{wqm\9f\95\8b\85{wqj\9f\98\8b\85{wqj\9f\95\88\81{wmj¢\92\8b\85{wqj\9f\98\8b\85{tqj\9f\98\8b\85{tmj\9f\98\8e\85~tqj\9f\95\88\85{tmj\9f\95\8b\85{wqj\9f\98\8b\85{tmj\9f\95\8b\85{tmj\9f\95\8b\85{wqj\9f\95\88\85{tmj\9f\95\8b\85{tqj\9f\95\8b\85{wqj\9f\95\8b\85{tqj\9f\98\8b\85~wqj\9f\95\8b\85{tmj\9f\98\8b\85{wqm\9f\95\8b\85{tmj\9f\95\8b\81{tmj\9f\98\8b\85{wqj\9f\95\8b\85{tmj\9f\98\8b\85~wqj\9f\95\8b\85{wqj\9f\95\8b\85{wmj\9f\98\8e\85{wqj\9f\95\88\85{tmj\9f\98\8b\85~wqj\9f\95\8b\85~wqj\9f\98\8b\85{wmj\9f\98\8b\85~wqm\9f\95\8b\85{tqj\9f\98\8b\85~wqm\9f\95\8b\85~tmj\9f\98\8b\85{wqj\9f\95\8e\85~wqm\9f\95\88\85{tqj¢\95\8b\85~wqj\9f\95\8b\85~wmm\9f\98\8e\85{wqm\9f\95\8e\85{wqm\9f\95\8b\85{wqj`ZSPFC<92/("\1f\18\15\11\v\ 4\ 1\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0¹©\9f\92\8b\85~wÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿøîäÝÓÊÀ¹¬¢\9f\95\8e\85~wmj`]SP]VPF?<69{
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.low.gold b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.low.gold
new file mode 100644 (file)
index 0000000..60f5641
--- /dev/null
@@ -0,0 +1 @@
+¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿¿HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHH\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\82\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\84\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\83\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85\85rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrruuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuuurrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssssss
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.lowz.gold b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.lowz.gold
new file mode 100644 (file)
index 0000000..3f8d6d2
--- /dev/null
@@ -0,0 +1 @@
+\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f\1f¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼¼\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\93\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\96\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\94\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\95\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\86\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\8a\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\88\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89\89
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.max.gold b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.max.gold
new file mode 100644 (file)
index 0000000..179b643
Binary files /dev/null and b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.max.gold differ
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.min.gold b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.min.gold
new file mode 100644 (file)
index 0000000..7cf18a5
--- /dev/null
@@ -0,0 +1 @@
+ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ`````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````bbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbcccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccdddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaabbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGG
\ No newline at end of file
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.state.gold b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.state.gold
new file mode 100644 (file)
index 0000000..e6ed597
Binary files /dev/null and b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.state.gold differ
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.time b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.time
new file mode 100644 (file)
index 0000000..ba7d487
Binary files /dev/null and b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.time differ
diff --git a/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.toggle.gold b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.toggle.gold
new file mode 100644 (file)
index 0000000..bc68906
Binary files /dev/null and b/fpga/tests/tb_data/pcf7931_write1byte_1MSA_data.toggle.gold differ
diff --git a/fpga/tests/tb_lf_edge_detect.v b/fpga/tests/tb_lf_edge_detect.v
new file mode 100644 (file)
index 0000000..a9fccf8
--- /dev/null
@@ -0,0 +1,111 @@
+//-----------------------------------------------------------------------------
+// Copyright (C) 2014 iZsh <izsh at fail0verflow.com>
+//
+// This code is licensed to you under the terms of the GNU GPL, version 2 or,
+// at your option, any later version. See the LICENSE.txt file for the text of
+// the license.
+//-----------------------------------------------------------------------------
+// testbench for lf_edge_detect
+`include "lf_edge_detect.v"
+
+`define FIN "tb_tmp/data.filtered.gold"
+`define FOUT_MIN "tb_tmp/data.min"
+`define FOUT_MAX "tb_tmp/data.max"
+`define FOUT_STATE "tb_tmp/data.state"
+`define FOUT_TOGGLE "tb_tmp/data.toggle"
+`define FOUT_HIGH "tb_tmp/data.high"
+`define FOUT_HIGHZ "tb_tmp/data.highz"
+`define FOUT_LOWZ "tb_tmp/data.lowz"
+`define FOUT_LOW "tb_tmp/data.low"
+
+module lf_edge_detect_tb;
+
+       integer fin, fout_state, fout_toggle;
+       integer fout_high, fout_highz, fout_lowz, fout_low, fout_min, fout_max;
+       integer r;
+
+       reg clk = 0;
+       reg [7:0] adc_d;
+       wire adc_clk;
+       wire data_rdy;
+       wire edge_state;
+       wire edge_toggle;
+
+    wire [7:0] high_threshold;
+    wire [7:0] highz_threshold;
+    wire [7:0] lowz_threshold;
+    wire [7:0] low_threshold;
+    wire [7:0] max;
+    wire [7:0] min;
+
+       initial
+       begin
+               clk = 0;
+               fin = $fopen(`FIN, "r");
+               if (!fin) begin
+                       $display("ERROR: can't open the data file");
+                       $finish;
+               end
+               fout_min = $fopen(`FOUT_MIN, "w+");             
+               fout_max = $fopen(`FOUT_MAX, "w+");             
+               fout_state = $fopen(`FOUT_STATE, "w+");         
+               fout_toggle = $fopen(`FOUT_TOGGLE, "w+");               
+               fout_high = $fopen(`FOUT_HIGH, "w+");           
+               fout_highz = $fopen(`FOUT_HIGHZ, "w+");         
+               fout_lowz = $fopen(`FOUT_LOWZ, "w+");           
+               fout_low = $fopen(`FOUT_LOW, "w+");             
+               if (!$feof(fin))
+                       adc_d = $fgetc(fin); // read the first value
+       end
+
+       always
+               # 1 clk = !clk;
+
+       // input
+       initial
+       begin
+               while (!$feof(fin)) begin
+                       @(negedge clk) adc_d <= $fgetc(fin);
+               end
+
+               if ($feof(fin))
+               begin
+                       # 3 $fclose(fin);
+                       $fclose(fout_state);
+                       $fclose(fout_toggle);
+                       $fclose(fout_high);
+                       $fclose(fout_highz);
+                       $fclose(fout_lowz);
+                       $fclose(fout_low);
+                       $fclose(fout_min);
+                       $fclose(fout_max);
+                       $finish;
+               end
+       end
+
+       initial
+       begin
+               // $monitor("%d\t S: %b, E: %b", $time, edge_state, edge_toggle);
+       end
+
+       // output
+       always @(negedge clk)
+       if ($time > 2) begin
+               r = $fputc(min, fout_min);
+               r = $fputc(max, fout_max);
+               r = $fputc(edge_state, fout_state);
+               r = $fputc(edge_toggle, fout_toggle);
+               r = $fputc(high_threshold, fout_high);
+               r = $fputc(highz_threshold, fout_highz);
+               r = $fputc(lowz_threshold, fout_lowz);
+               r = $fputc(low_threshold, fout_low);
+       end
+
+       // module to test
+       lf_edge_detect detect(clk, adc_d, 8'd127,
+               max, min,
+               high_threshold, highz_threshold,
+               lowz_threshold, low_threshold,
+               edge_state, edge_toggle);
+
+endmodule
\ No newline at end of file
diff --git a/fpga/tests/tb_lp20khz_1MSa_iir_filter.v b/fpga/tests/tb_lp20khz_1MSa_iir_filter.v
new file mode 100644 (file)
index 0000000..ad0cfc6
--- /dev/null
@@ -0,0 +1,55 @@
+//-----------------------------------------------------------------------------
+// Copyright (C) 2014 iZsh <izsh at fail0verflow.com>
+//
+// This code is licensed to you under the terms of the GNU GPL, version 2 or,
+// at your option, any later version. See the LICENSE.txt file for the text of
+// the license.
+//-----------------------------------------------------------------------------
+// testbench for lp20khz_1MSa_iir_filter
+`include "lp20khz_1MSa_iir_filter.v"
+
+`define FIN "tb_tmp/data.in"
+`define FOUT "tb_tmp/data.filtered"
+
+module lp20khz_1MSa_iir_filter_tb;
+
+       integer fin, fout, r;
+
+       reg clk;
+       reg [7:0] adc_d;
+       wire data_rdy;
+       wire [7:0] adc_filtered;
+
+       initial
+       begin
+               clk = 0;
+               fin = $fopen(`FIN, "r");
+               if (!fin) begin
+                       $display("ERROR: can't open the data file");
+                       $finish;
+               end
+               fout = $fopen(`FOUT, "w+");
+               if (!$feof(fin))
+                       adc_d = $fgetc(fin); // read the first value
+       end
+
+       always
+               # 1 clk = !clk;
+
+       always @(posedge clk)
+               if (data_rdy) begin
+                       if ($time > 1)
+                               r = $fputc(adc_filtered, fout);                         
+                       if (!$feof(fin))
+                               adc_d <= $fgetc(fin);
+                       else begin
+                               $fclose(fin);
+                               $fclose(fout);
+                               $finish;                                
+                       end
+               end
+
+       // module to test
+       lp20khz_1MSa_iir_filter filter(clk, adc_d, data_rdy, adc_filtered);
+
+endmodule
diff --git a/fpga/tests/tb_min_max_tracker.v b/fpga/tests/tb_min_max_tracker.v
new file mode 100644 (file)
index 0000000..4cccc3f
--- /dev/null
@@ -0,0 +1,74 @@
+//-----------------------------------------------------------------------------
+// Copyright (C) 2014 iZsh <izsh at fail0verflow.com>
+//
+// This code is licensed to you under the terms of the GNU GPL, version 2 or,
+// at your option, any later version. See the LICENSE.txt file for the text of
+// the license.
+//-----------------------------------------------------------------------------
+// testbench for min_max_tracker
+`include "min_max_tracker.v"
+
+`define FIN "tb_tmp/data.filtered.gold"
+`define FOUT_MIN "tb_tmp/data.min"
+`define FOUT_MAX "tb_tmp/data.max"
+
+module min_max_tracker_tb;
+
+       integer fin;
+       integer fout_min, fout_max;
+       integer r;
+
+       reg clk;
+       reg [7:0] adc_d;
+       wire [7:0] min;
+       wire [7:0] max;
+
+       initial
+       begin
+               clk = 0;
+               fin = $fopen(`FIN, "r");
+               if (!fin) begin
+                       $display("ERROR: can't open the data file");
+                       $finish;
+               end
+               fout_min = $fopen(`FOUT_MIN, "w+");             
+               fout_max = $fopen(`FOUT_MAX, "w+");             
+               if (!$feof(fin))
+                       adc_d = $fgetc(fin); // read the first value
+       end
+
+       always
+               # 1 clk = !clk;
+
+       // input
+       initial
+       begin
+               while (!$feof(fin)) begin
+                       @(negedge clk) adc_d <= $fgetc(fin);
+               end
+
+               if ($feof(fin))
+               begin
+                       # 3 $fclose(fin);
+                       $fclose(fout_min);
+                       $fclose(fout_max);
+                       $finish;
+               end
+       end
+
+       initial
+       begin
+               // $monitor("%d\t min: %x, max: %x", $time, min, max);          
+       end
+
+       // output
+       always @(negedge clk)
+       if ($time > 2) begin
+               r = $fputc(min, fout_min);
+               r = $fputc(max, fout_max);
+       end
+
+       // module to test
+       min_max_tracker tracker(clk, adc_d, 8'd127, min, max);
+
+endmodule
\ No newline at end of file
Impressum, Datenschutz