]> git.zerfleddert.de Git - proxmark3-svn/blame - common/lfdemod.c
CHG: `lf em` - added @marshmellow42 's changes
[proxmark3-svn] / common / lfdemod.c
CommitLineData
eb191de6 1//-----------------------------------------------------------------------------
ba1a299c 2// Copyright (C) 2014
eb191de6 3//
4// This code is licensed to you under the terms of the GNU GPL, version 2 or,
5// at your option, any later version. See the LICENSE.txt file for the text of
6// the license.
7//-----------------------------------------------------------------------------
1e090a61 8// Low frequency demod/decode commands
eb191de6 9//-----------------------------------------------------------------------------
eb191de6 10#include "lfdemod.h"
6426f6ba 11
a126332a 12//un_comment to allow debug print calls when used not on device
6426f6ba 13void dummy(char *fmt, ...){}
14
e977fb0d 15
6426f6ba 16#ifndef ON_DEVICE
e977fb0d 17# include "ui.h"
18# include "cmdparser.h"
19# include "cmddata.h"
20# define prnt PrintAndLog
6426f6ba 21#else
a126332a 22 uint8_t g_debugMode=0;
e977fb0d 23# define prnt dummy
6426f6ba 24#endif
6426f6ba 25
2dcf60f3 26//test samples are not just noise
27uint8_t justNoise(uint8_t *bits, size_t size) {
28 #define THRESHOLD 123
29 uint8_t val = 1;
30 for(size_t idx=0; idx < size && val ;idx++)
31 val = bits[idx] < THRESHOLD;
32 return val;
a1d17964 33}
34
1e090a61 35//by marshmellow
872e3d4d 36//get high and low values of a wave with passed in fuzz factor. also return noise test = 1 for passed or 0 for only noise
1e090a61 37int getHiLo(uint8_t *BitStream, size_t size, int *high, int *low, uint8_t fuzzHi, uint8_t fuzzLo)
38{
39 *high=0;
40 *low=255;
41 // get high and low thresholds
2eec55c8 42 for (size_t i=0; i < size; i++){
1e090a61 43 if (BitStream[i] > *high) *high = BitStream[i];
44 if (BitStream[i] < *low) *low = BitStream[i];
45 }
46 if (*high < 123) return -1; // just noise
75cbbe9a 47 *high = ((*high-128)*fuzzHi + 12800)/100;
48 *low = ((*low-128)*fuzzLo + 12800)/100;
1e090a61 49 return 1;
50}
51
a1d17964 52// by marshmellow
53// pass bits to be tested in bits, length bits passed in bitLen, and parity type (even=0 | odd=1) in pType
54// returns 1 if passed
55uint8_t parityTest(uint32_t bits, uint8_t bitLen, uint8_t pType)
56{
57 uint8_t ans = 0;
58 for (uint8_t i = 0; i < bitLen; i++){
59 ans ^= ((bits >> i) & 1);
60 }
4ac9f078 61 if (g_debugMode) prnt("DEBUG: ans: %d, ptype: %d, bits: %08X",ans,pType,bits);
a1d17964 62 return (ans == pType);
63}
64
a126332a 65//by marshmellow
66// takes a array of binary values, start position, length of bits per parity (includes parity bit),
c728b2b4 67// Parity Type (1 for odd; 0 for even; 2 for Always 1's; 3 for Always 0's), and binary Length (length to run)
a126332a 68size_t removeParity(uint8_t *BitStream, size_t startIdx, uint8_t pLen, uint8_t pType, size_t bLen)
69{
70 uint32_t parityWd = 0;
71 size_t j = 0, bitCnt = 0;
c08c796e 72 for (int word = 0; word < (bLen); word += pLen){
a126332a 73 for (int bit=0; bit < pLen; bit++){
74 parityWd = (parityWd << 1) | BitStream[startIdx+word+bit];
75 BitStream[j++] = (BitStream[startIdx+word+bit]);
76 }
4ac9f078 77 if (word+pLen >= bLen) break;
78
a126332a 79 j--; // overwrite parity with next data
80 // if parity fails then return 0
c728b2b4 81 switch (pType) {
11dee3d5 82 case 3: if (BitStream[j]==1) { return 0; } break; //should be 0 spacer bit
83 case 2: if (BitStream[j]==0) { return 0; } break; //should be 1 spacer bit
3c654208 84 default: if (parityTest(parityWd, pLen, pType) == 0) { return 0; } break; //test parity
a126332a 85 }
c08c796e 86 bitCnt += (pLen-1);
a126332a 87 parityWd = 0;
88 }
89 // if we got here then all the parities passed
90 //return ID start index and size
91 return bitCnt;
92}
93
94// by marshmellow
95// takes a array of binary values, length of bits per parity (includes parity bit),
0d2c5909 96// Parity Type (1 for odd; 0 for even; 2 Always 1's; 3 Always 0's), and binary Length (length to run)
c728b2b4 97// Make sure *dest is long enough to store original sourceLen + #_of_parities_to_be_added
a126332a 98size_t addParity(uint8_t *BitSource, uint8_t *dest, uint8_t sourceLen, uint8_t pLen, uint8_t pType)
99{
100 uint32_t parityWd = 0;
101 size_t j = 0, bitCnt = 0;
102 for (int word = 0; word < sourceLen; word+=pLen-1) {
103 for (int bit=0; bit < pLen-1; bit++){
104 parityWd = (parityWd << 1) | BitSource[word+bit];
105 dest[j++] = (BitSource[word+bit]);
106 }
0d2c5909 107
a126332a 108 // if parity fails then return 0
0d2c5909 109 switch (pType) {
110 case 3: dest[j++]=0; break; // marker bit which should be a 0
111 case 2: dest[j++]=1; break; // marker bit which should be a 1
112 default:
113 dest[j++] = parityTest(parityWd, pLen-1, pType) ^ 1;
114 break;
a126332a 115 }
116 bitCnt += pLen;
117 parityWd = 0;
118 }
119 // if we got here then all the parities passed
120 //return ID start index and size
121 return bitCnt;
122}
123
124uint32_t bytebits_to_byte(uint8_t *src, size_t numbits)
125{
126 uint32_t num = 0;
0d2c5909 127 for(int i = 0 ; i < numbits ; i++) {
a126332a 128 num = (num << 1) | (*src);
129 src++;
130 }
131 return num;
132}
133
134//least significant bit first
135uint32_t bytebits_to_byteLSBF(uint8_t *src, size_t numbits)
136{
137 uint32_t num = 0;
6b6815bc 138 for(int i = 0 ; i < numbits ; i++) {
a126332a 139 num = (num << 1) | *(src + (numbits-(i+1)));
140 }
141 return num;
142}
143
a1d17964 144//by marshmellow
2147c307 145//search for given preamble in given BitStream and return success=1 or fail=0 and startIndex and length
a1d17964 146uint8_t preambleSearch(uint8_t *BitStream, uint8_t *preamble, size_t pLen, size_t *size, size_t *startIdx)
147{
5735cfa5 148 // Sanity check. If preamble length is bigger than bitstream length.
149 if ( *size <= pLen ) return 0;
150
56d0fb8e 151 uint8_t foundCnt = 0;
152 for (int idx = 0; idx < *size - pLen; idx++){
e0165dcf 153 if (memcmp(BitStream+idx, preamble, pLen) == 0){
154 //first index found
155 foundCnt++;
156 if (foundCnt == 1){
157 *startIdx = idx;
158 }
159 if (foundCnt == 2){
160 *size = idx - *startIdx;
161 return 1;
162 }
163 }
164 }
165 return 0;
a1d17964 166}
167
2147c307 168//by marshmellow
169//takes 1s and 0s and searches for EM410x format - output EM ID
da1f16d6 170int Em410xDecode(uint8_t *BitStream, size_t *size, size_t *startIdx, uint32_t *hi, uint64_t *lo)
2147c307 171{
e0165dcf 172 //no arguments needed - built this way in case we want this to be a direct call from "data " cmds in the future
173 // otherwise could be a void with no arguments
174 //set defaults
175 uint32_t i = 0;
da1f16d6 176 if (BitStream[1]>1) return -1; //allow only 1s and 0s
2767fc02 177
e0165dcf 178 // 111111111 bit pattern represent start of frame
179 // include 0 in front to help get start pos
180 uint8_t preamble[] = {0,1,1,1,1,1,1,1,1,1};
181 uint32_t idx = 0;
182 uint32_t parityBits = 0;
183 uint8_t errChk = 0;
184 uint8_t FmtLen = 10;
185 *startIdx = 0;
186 errChk = preambleSearch(BitStream, preamble, sizeof(preamble), size, startIdx);
da1f16d6 187 if (errChk == 0 ) return -4;
188 if (*size < 64) return -3;
e0165dcf 189 if (*size > 64) FmtLen = 22;
190 *startIdx += 1; //get rid of 0 from preamble
191 idx = *startIdx + 9;
192 for (i=0; i<FmtLen; i++){ //loop through 10 or 22 sets of 5 bits (50-10p = 40 bits or 88 bits)
193 parityBits = bytebits_to_byte(BitStream+(i*5)+idx,5);
2eec55c8 194 //check even parity - quit if failed
da1f16d6 195 if (parityTest(parityBits, 5, 0) == 0) return -5;
e0165dcf 196 //set uint64 with ID from BitStream
197 for (uint8_t ii=0; ii<4; ii++){
198 *hi = (*hi << 1) | (*lo >> 63);
199 *lo = (*lo << 1) | (BitStream[(i*5)+ii+idx]);
200 }
201 }
202 if (errChk != 0) return 1;
203 //skip last 5 bit parity test for simplicity.
204 // *size = 64 | 128;
205 return 0;
2147c307 206}
207
fef74fdc 208//by marshmellow
209//demodulates strong heavily clipped samples
23f0a7d8 210int cleanAskRawDemod(uint8_t *BinStream, size_t *size, int clk, int invert, int high, int low)
211{
212 size_t bitCnt=0, smplCnt=0, errCnt=0;
213 uint8_t waveHigh = 0;
23f0a7d8 214 for (size_t i=0; i < *size; i++){
215 if (BinStream[i] >= high && waveHigh){
216 smplCnt++;
217 } else if (BinStream[i] <= low && !waveHigh){
218 smplCnt++;
219 } else { //transition
220 if ((BinStream[i] >= high && !waveHigh) || (BinStream[i] <= low && waveHigh)){
81e82dfe 221
23f0a7d8 222 if (smplCnt > clk-(clk/4)-1) { //full clock
a38f5a07 223 if (smplCnt > clk + (clk/4)+1) { //too many samples
23f0a7d8 224 errCnt++;
05164399 225 if (g_debugMode==2) prnt("DEBUG ASK: Modulation Error at: %u", i);
81e82dfe 226 BinStream[bitCnt++] = 7;
23f0a7d8 227 } else if (waveHigh) {
228 BinStream[bitCnt++] = invert;
229 BinStream[bitCnt++] = invert;
230 } else if (!waveHigh) {
231 BinStream[bitCnt++] = invert ^ 1;
232 BinStream[bitCnt++] = invert ^ 1;
233 }
234 waveHigh ^= 1;
235 smplCnt = 0;
236 } else if (smplCnt > (clk/2) - (clk/4)-1) {
237 if (waveHigh) {
238 BinStream[bitCnt++] = invert;
239 } else if (!waveHigh) {
240 BinStream[bitCnt++] = invert ^ 1;
241 }
242 waveHigh ^= 1;
243 smplCnt = 0;
244 } else if (!bitCnt) {
245 //first bit
246 waveHigh = (BinStream[i] >= high);
247 smplCnt = 1;
248 } else {
249 smplCnt++;
250 //transition bit oops
251 }
252 } else { //haven't hit new high or new low yet
253 smplCnt++;
254 }
255 }
256 }
257 *size = bitCnt;
258 return errCnt;
259}
260
eb191de6 261//by marshmellow
fef74fdc 262void askAmp(uint8_t *BitStream, size_t size)
263{
9686a8d4 264 uint8_t last = 128;
265 for(size_t i = 1; i < size; ++i){
266 if (BitStream[i]-BitStream[i-1] >= 30) //large jump up
267 last = 255;
268 else if(BitStream[i-1] - BitStream[i] >= 20) //large jump down
269 last = 0;
270
271 BitStream[i] = last;
fef74fdc 272 }
fef74fdc 273}
274
275//by marshmellow
276//attempts to demodulate ask modulations, askType == 0 for ask/raw, askType==1 for ask/manchester
277int askdemod(uint8_t *BinStream, size_t *size, int *clk, int *invert, int maxErr, uint8_t amp, uint8_t askType)
eb191de6 278{
fef74fdc 279 if (*size==0) return -1;
6e984446 280 int start = DetectASKClock(BinStream, *size, clk, maxErr); //clock default
bd09006a 281
2eec55c8 282 if (*clk==0 || start < 0) return -3;
fef74fdc 283 if (*invert != 1) *invert = 0;
284 if (amp==1) askAmp(BinStream, *size);
a38f5a07 285 if (g_debugMode==2) prnt("DEBUG ASK: clk %d, beststart %d, amp %d", *clk, start, amp);
fef74fdc 286
2eec55c8 287 uint8_t initLoopMax = 255;
288 if (initLoopMax > *size) initLoopMax = *size;
ba1a299c 289 // Detect high and lows
fef74fdc 290 //25% clip in case highs and lows aren't clipped [marshmellow]
2eec55c8 291 int high, low;
fef74fdc 292 if (getHiLo(BinStream, initLoopMax, &high, &low, 75, 75) < 1)
293 return -2; //just noise
ba1a299c 294
fef74fdc 295 size_t errCnt = 0;
23f0a7d8 296 // if clean clipped waves detected run alternate demod
297 if (DetectCleanAskWave(BinStream, *size, high, low)) {
05164399 298 if (g_debugMode==2) prnt("DEBUG ASK: Clean Wave Detected - using clean wave demod");
fef74fdc 299 errCnt = cleanAskRawDemod(BinStream, size, *clk, *invert, high, low);
300 if (askType) //askman
301 return manrawdecode(BinStream, size, 0);
81e82dfe 302 //askraw
303 return errCnt;
23f0a7d8 304 }
05164399 305 if (g_debugMode==2) prnt("DEBUG ASK: Weak Wave Detected - using weak wave demod");
23f0a7d8 306
fef74fdc 307 int lastBit; //set first clock check - can go negative
308 size_t i, bitnum = 0; //output counter
309 uint8_t midBit = 0;
2eec55c8 310 uint8_t tol = 0; //clock tolerance adjust - waves will be accepted as within the clock if they fall + or - this value + clock from last valid wave
fef74fdc 311 if (*clk <= 32) tol = 1; //clock tolerance may not be needed anymore currently set to + or - 1 but could be increased for poor waves or removed entirely
05164399 312 size_t MaxBits = 3072; //max bits to collect
6e984446 313 lastBit = start - *clk;
fef74fdc 314
6e984446 315 for (i = start; i < *size; ++i) {
fef74fdc 316 if (i-lastBit >= *clk-tol){
317 if (BinStream[i] >= high) {
318 BinStream[bitnum++] = *invert;
319 } else if (BinStream[i] <= low) {
320 BinStream[bitnum++] = *invert ^ 1;
321 } else if (i-lastBit >= *clk+tol) {
322 if (bitnum > 0) {
05164399 323 if (g_debugMode==2) prnt("DEBUG ASK: Modulation Error at: %u", i);
fef74fdc 324 BinStream[bitnum++]=7;
325 errCnt++;
326 }
327 } else { //in tolerance - looking for peak
328 continue;
329 }
330 midBit = 0;
2eec55c8 331 lastBit += *clk;
fef74fdc 332 } else if (i-lastBit >= (*clk/2-tol) && !midBit && !askType){
333 if (BinStream[i] >= high) {
334 BinStream[bitnum++] = *invert;
335 } else if (BinStream[i] <= low) {
336 BinStream[bitnum++] = *invert ^ 1;
337 } else if (i-lastBit >= *clk/2+tol) {
338 BinStream[bitnum] = BinStream[bitnum-1];
339 bitnum++;
340 } else { //in tolerance - looking for peak
341 continue;
342 }
343 midBit = 1;
2eec55c8 344 }
345 if (bitnum >= MaxBits) break;
ba1a299c 346 }
2eec55c8 347 *size = bitnum;
6e984446 348 return errCnt;
eb191de6 349}
eb191de6 350//by marshmellow
351//take 10 and 01 and manchester decode
352//run through 2 times and take least errCnt
81e82dfe 353int manrawdecode(uint8_t * BitStream, size_t *size, uint8_t invert){
5f5b83b7 354 int errCnt = 0, bestErr = 1000;
355 uint16_t bitnum = 0, MaxBits = 512, bestRun = 0;
81e82dfe 356 size_t i, k;
fef74fdc 357 if (*size < 16) return -1;
2767fc02 358 //find correct start position [alignment]
81e82dfe 359 for (k=0; k < 2; ++k){
360 for (i=k; i<*size-3; i += 2)
361 if (BitStream[i] == BitStream[i+1])
ba1a299c 362 errCnt++;
2eec55c8 363
81e82dfe 364 if (bestErr > errCnt){
365 bestErr = errCnt;
366 bestRun = k;
ba1a299c 367 }
368 errCnt=0;
369 }
2767fc02 370 //decode
81e82dfe 371 for (i=bestRun; i < *size-3; i += 2){
372 if (BitStream[i] == 1 && (BitStream[i+1] == 0)){
373 BitStream[bitnum++] = invert;
374 } else if ((BitStream[i] == 0) && BitStream[i+1] == 1){
375 BitStream[bitnum++] = invert^1;
23f0a7d8 376 } else {
81e82dfe 377 BitStream[bitnum++] = 7;
ba1a299c 378 }
81e82dfe 379 if (bitnum>MaxBits) break;
ba1a299c 380 }
23f0a7d8 381 *size=bitnum;
2eec55c8 382 return bestErr;
f822a063 383}
384
1d0ccbe0 385uint32_t manchesterEncode2Bytes(uint16_t datain) {
386 uint32_t output = 0;
387 uint8_t curBit = 0;
388 for (uint8_t i=0; i<16; i++) {
389 curBit = (datain >> (15-i) & 1);
390 output |= (1<<(((15-i)*2)+curBit));
391 }
392 return output;
393}
394
fef74fdc 395//by marshmellow
396//encode binary data into binary manchester
397int ManchesterEncode(uint8_t *BitStream, size_t size)
398{
399 size_t modIdx=20000, i=0;
400 if (size>modIdx) return -1;
401 for (size_t idx=0; idx < size; idx++){
402 BitStream[idx+modIdx++] = BitStream[idx];
403 BitStream[idx+modIdx++] = BitStream[idx]^1;
404 }
405 for (; i<(size*2); i++){
406 BitStream[i] = BitStream[i+20000];
407 }
408 return i;
409}
410
f822a063 411//by marshmellow
2147c307 412//take 01 or 10 = 1 and 11 or 00 = 0
413//check for phase errors - should never have 111 or 000 should be 01001011 or 10110100 for 1010
13d77ef9 414//decodes biphase or if inverted it is AKA conditional dephase encoding AKA differential manchester encoding
1e090a61 415int BiphaseRawDecode(uint8_t *BitStream, size_t *size, int offset, int invert)
f822a063 416{
2eec55c8 417 uint16_t bitnum = 0;
418 uint16_t errCnt = 0;
419 size_t i = offset;
2147c307 420 uint16_t MaxBits=512;
421 //if not enough samples - error
422 if (*size < 51) return -1;
423 //check for phase change faults - skip one sample if faulty
424 uint8_t offsetA = 1, offsetB = 1;
425 for (; i<48; i+=2){
426 if (BitStream[i+1]==BitStream[i+2]) offsetA=0;
427 if (BitStream[i+2]==BitStream[i+3]) offsetB=0;
428 }
429 if (!offsetA && offsetB) offset++;
430 for (i=offset; i<*size-3; i+=2){
431 //check for phase error
13d77ef9 432 if (BitStream[i+1]==BitStream[i+2]) {
2767fc02 433 BitStream[bitnum++]=7;
2147c307 434 errCnt++;
435 }
ba1a299c 436 if((BitStream[i]==1 && BitStream[i+1]==0) || (BitStream[i]==0 && BitStream[i+1]==1)){
1e090a61 437 BitStream[bitnum++]=1^invert;
ba1a299c 438 } else if((BitStream[i]==0 && BitStream[i+1]==0) || (BitStream[i]==1 && BitStream[i+1]==1)){
1e090a61 439 BitStream[bitnum++]=invert;
ba1a299c 440 } else {
2767fc02 441 BitStream[bitnum++]=7;
ba1a299c 442 errCnt++;
443 }
6de43508 444 if(bitnum>MaxBits) break;
ba1a299c 445 }
446 *size=bitnum;
447 return errCnt;
eb191de6 448}
449
fef74fdc 450// by marshmellow
11081e04 451// demod gProxIIDemod
452// error returns as -x
453// success returns start position in BitStream
454// BitStream must contain previously askrawdemod and biphasedemoded data
455int gProxII_Demod(uint8_t BitStream[], size_t *size)
456{
457 size_t startIdx=0;
458 uint8_t preamble[] = {1,1,1,1,1,0};
459
460 uint8_t errChk = preambleSearch(BitStream, preamble, sizeof(preamble), size, &startIdx);
461 if (errChk == 0) return -3; //preamble not found
462 if (*size != 96) return -2; //should have found 96 bits
463 //check first 6 spacer bits to verify format
464 if (!BitStream[startIdx+5] && !BitStream[startIdx+10] && !BitStream[startIdx+15] && !BitStream[startIdx+20] && !BitStream[startIdx+25] && !BitStream[startIdx+30]){
465 //confirmed proper separator bits found
466 //return start position
467 return (int) startIdx;
468 }
7fa7e812 469 return -5; //spacer bits not found - not a valid gproxII
11081e04 470}
471
7fa7e812 472//translate wave to 11111100000 (1 for each short wave [higher freq] 0 for each long wave [lower freq])
f822a063 473size_t fsk_wave_demod(uint8_t * dest, size_t size, uint8_t fchigh, uint8_t fclow)
eb191de6 474{
2eec55c8 475 size_t last_transition = 0;
476 size_t idx = 1;
ac3ba7ee 477 //uint32_t maxVal=0;
ba1a299c 478 if (fchigh==0) fchigh=10;
479 if (fclow==0) fclow=8;
84871873 480 //set the threshold close to 0 (graph) or 128 std to avoid static
481 uint8_t threshold_value = 123;
ac2df346 482 size_t preLastSample = 0;
483 size_t LastSample = 0;
484 size_t currSample = 0;
4ac9f078 485 if ( size < 1024 ) return 0; // not enough samples
486
487 // jump to modulating data by finding the first 4 threshold crossings (or first 2 waves)
488 // in case you have junk or noise at the beginning of the trace...
489 uint8_t thresholdCnt = 0;
490 size_t waveSizeCnt = 0;
491 bool isAboveThreshold = dest[idx++] >= threshold_value;
492 for (; idx < size-20; idx++ ) {
493 if(dest[idx] < threshold_value && isAboveThreshold) {
494 thresholdCnt++;
495 if (thresholdCnt > 2 && waveSizeCnt < fchigh+1) break;
496 isAboveThreshold = false;
497 waveSizeCnt = 0;
498 } else if (dest[idx] >= threshold_value && !isAboveThreshold) {
499 thresholdCnt++;
500 if (thresholdCnt > 2 && waveSizeCnt < fchigh+1) break;
501 isAboveThreshold = true;
502 waveSizeCnt = 0;
503 } else {
504 waveSizeCnt++;
505 }
506 if (thresholdCnt > 10) break;
507 }
508 if (g_debugMode == 2) prnt("threshold Count reached at %u",idx);
ba1a299c 509
510 // Need to threshold first sample
4ac9f078 511 if(dest[idx] < threshold_value) dest[0] = 0;
ba1a299c 512 else dest[0] = 1;
4ac9f078 513 idx++;
ba1a299c 514
515 size_t numBits = 0;
516 // count cycles between consecutive lo-hi transitions, there should be either 8 (fc/8)
cd0bed3c 517 // or 10 (fc/10) cycles but in practice due to noise etc we may end up with anywhere
ba1a299c 518 // between 7 to 11 cycles so fuzz it by treat anything <9 as 8 and anything else as 10
7fa7e812 519 // (could also be fc/5 && fc/7 for fsk1 = 4-9)
4ac9f078 520 for(; idx < size-20; idx++) {
ba1a299c 521 // threshold current value
522
523 if (dest[idx] < threshold_value) dest[idx] = 0;
524 else dest[idx] = 1;
525
526 // Check for 0->1 transition
7fa7e812 527 if (dest[idx-1] < dest[idx]) {
ac2df346 528 preLastSample = LastSample;
529 LastSample = currSample;
530 currSample = idx-last_transition;
6426f6ba 531 if (currSample < (fclow-2)){ //0-5 = garbage noise (or 0-3)
ba1a299c 532 //do nothing with extra garbage
7fa7e812 533 } else if (currSample < (fchigh-1)) { //6-8 = 8 sample waves (or 3-6 = 5)
534 //correct previous 9 wave surrounded by 8 waves (or 6 surrounded by 5)
4ac9f078 535 if (LastSample > (fchigh-2) && (preLastSample < (fchigh-1))){
7fa7e812 536 dest[numBits-1]=1;
ac2df346 537 }
2eec55c8 538 dest[numBits++]=1;
ac2df346 539
4ac9f078 540 } else if (currSample > (fchigh+1) && numBits < 3) { //12 + and first two bit = unusable garbage
541 //do nothing with beginning garbage and reset.. should be rare..
542 numBits = 0;
7fa7e812 543 } else if (currSample == (fclow+1) && LastSample == (fclow-1)) { // had a 7 then a 9 should be two 8's (or 4 then a 6 should be two 5's)
ac2df346 544 dest[numBits++]=1;
7fa7e812 545 } else { //9+ = 10 sample waves (or 6+ = 7)
2eec55c8 546 dest[numBits++]=0;
ba1a299c 547 }
548 last_transition = idx;
ba1a299c 549 }
550 }
551 return numBits; //Actually, it returns the number of bytes, but each byte represents a bit: 1 or 0
eb191de6 552}
553
ba1a299c 554//translate 11111100000 to 10
7fa7e812 555//rfLen = clock, fchigh = larger field clock, fclow = smaller field clock
2eec55c8 556size_t aggregate_bits(uint8_t *dest, size_t size, uint8_t rfLen,
e0165dcf 557 uint8_t invert, uint8_t fchigh, uint8_t fclow)
eb191de6 558{
ba1a299c 559 uint8_t lastval=dest[0];
2eec55c8 560 size_t idx=0;
ba1a299c 561 size_t numBits=0;
562 uint32_t n=1;
ba1a299c 563 for( idx=1; idx < size; idx++) {
13d77ef9 564 n++;
a38f5a07 565 if (dest[idx]==lastval) continue; //skip until we hit a transition
2eec55c8 566
7fa7e812 567 //find out how many bits (n) we collected
ba1a299c 568 //if lastval was 1, we have a 1->0 crossing
13d77ef9 569 if (dest[idx-1]==1) {
75cbbe9a 570 n = (n * fclow + rfLen/2) / rfLen;
13d77ef9 571 } else {// 0->1 crossing
75cbbe9a 572 n = (n * fchigh + rfLen/2) / rfLen;
ba1a299c 573 }
574 if (n == 0) n = 1;
575
7fa7e812 576 //add to our destination the bits we collected
2eec55c8 577 memset(dest+numBits, dest[idx-1]^invert , n);
578 numBits += n;
ba1a299c 579 n=0;
580 lastval=dest[idx];
581 }//end for
13d77ef9 582 // if valid extra bits at the end were all the same frequency - add them in
75cbbe9a 583 if (n > rfLen/fchigh) {
13d77ef9 584 if (dest[idx-2]==1) {
75cbbe9a 585 n = (n * fclow + rfLen/2) / rfLen;
13d77ef9 586 } else {
75cbbe9a 587 n = (n * fchigh + rfLen/2) / rfLen;
13d77ef9 588 }
2eec55c8 589 memset(dest+numBits, dest[idx-1]^invert , n);
13d77ef9 590 numBits += n;
591 }
ba1a299c 592 return numBits;
eb191de6 593}
6426f6ba 594
eb191de6 595//by marshmellow (from holiman's base)
596// full fsk demod from GraphBuffer wave to decoded 1s and 0s (no mandemod)
f822a063 597int fskdemod(uint8_t *dest, size_t size, uint8_t rfLen, uint8_t invert, uint8_t fchigh, uint8_t fclow)
eb191de6 598{
ba1a299c 599 // FSK demodulator
600 size = fsk_wave_demod(dest, size, fchigh, fclow);
2eec55c8 601 size = aggregate_bits(dest, size, rfLen, invert, fchigh, fclow);
ba1a299c 602 return size;
eb191de6 603}
a1d17964 604
eb191de6 605// loop to get raw HID waveform then FSK demodulate the TAG ID from it
ec75f5c1 606int HIDdemodFSK(uint8_t *dest, size_t *size, uint32_t *hi2, uint32_t *hi, uint32_t *lo)
eb191de6 607{
e0165dcf 608 if (justNoise(dest, *size)) return -1;
609
337f7036 610 size_t numStart=0, size2 = *size, startIdx=0;
e0165dcf 611 // FSK demodulator
612 *size = fskdemod(dest, size2,50,1,10,8); //fsk2a
2eec55c8 613 if (*size < 96*2) return -2;
e0165dcf 614 // 00011101 bit pattern represent start of frame, 01 pattern represents a 0 and 10 represents a 1
615 uint8_t preamble[] = {0,0,0,1,1,1,0,1};
616 // find bitstring in array
617 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
618 if (errChk == 0) return -3; //preamble not found
619
620 numStart = startIdx + sizeof(preamble);
621 // final loop, go over previously decoded FSK data and manchester decode into usable tag ID
622 for (size_t idx = numStart; (idx-numStart) < *size - sizeof(preamble); idx+=2){
623 if (dest[idx] == dest[idx+1]){
624 return -4; //not manchester data
625 }
626 *hi2 = (*hi2<<1)|(*hi>>31);
627 *hi = (*hi<<1)|(*lo>>31);
628 //Then, shift in a 0 or one into low
81b7e894 629 *lo <<= 1;
e0165dcf 630 if (dest[idx] && !dest[idx+1]) // 1 0
81b7e894 631 *lo |= 1;
e0165dcf 632 else // 0 1
81b7e894 633 *lo |= 0;
e0165dcf 634 }
635 return (int)startIdx;
eb191de6 636}
637
ec75f5c1 638// loop to get raw paradox waveform then FSK demodulate the TAG ID from it
a1d17964 639int ParadoxdemodFSK(uint8_t *dest, size_t *size, uint32_t *hi2, uint32_t *hi, uint32_t *lo)
ec75f5c1 640{
a1d17964 641 if (justNoise(dest, *size)) return -1;
642
337f7036 643 size_t numStart=0, size2 = *size, startIdx=0;
ec75f5c1 644 // FSK demodulator
a1d17964 645 *size = fskdemod(dest, size2,50,1,10,8); //fsk2a
646 if (*size < 96) return -2;
ec75f5c1 647
a1d17964 648 // 00001111 bit pattern represent start of frame, 01 pattern represents a 0 and 10 represents a 1
649 uint8_t preamble[] = {0,0,0,0,1,1,1,1};
650
651 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
652 if (errChk == 0) return -3; //preamble not found
653
654 numStart = startIdx + sizeof(preamble);
655 // final loop, go over previously decoded FSK data and manchester decode into usable tag ID
656 for (size_t idx = numStart; (idx-numStart) < *size - sizeof(preamble); idx+=2){
657 if (dest[idx] == dest[idx+1])
658 return -4; //not manchester data
659 *hi2 = (*hi2<<1)|(*hi>>31);
660 *hi = (*hi<<1)|(*lo>>31);
661 //Then, shift in a 0 or one into low
662 if (dest[idx] && !dest[idx+1]) // 1 0
663 *lo=(*lo<<1)|1;
664 else // 0 1
665 *lo=(*lo<<1)|0;
ec75f5c1 666 }
a1d17964 667 return (int)startIdx;
ec75f5c1 668}
669
eb191de6 670int IOdemodFSK(uint8_t *dest, size_t size)
671{
a1d17964 672 if (justNoise(dest, size)) return -1;
ba1a299c 673 //make sure buffer has data
a1d17964 674 if (size < 66*64) return -2;
ba1a299c 675 // FSK demodulator
a1d17964 676 size = fskdemod(dest, size, 64, 1, 10, 8); // FSK2a RF/64
677 if (size < 65) return -3; //did we get a good demod?
ba1a299c 678 //Index map
679 //0 10 20 30 40 50 60
680 //| | | | | | |
681 //01234567 8 90123456 7 89012345 6 78901234 5 67890123 4 56789012 3 45678901 23
682 //-----------------------------------------------------------------------------
683 //00000000 0 11110000 1 facility 1 version* 1 code*one 1 code*two 1 ???????? 11
684 //
685 //XSF(version)facility:codeone+codetwo
686 //Handle the data
a1d17964 687 size_t startIdx = 0;
688 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,1};
689 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), &size, &startIdx);
690 if (errChk == 0) return -4; //preamble not found
eb191de6 691
a1d17964 692 if (!dest[startIdx+8] && dest[startIdx+17]==1 && dest[startIdx+26]==1 && dest[startIdx+35]==1 && dest[startIdx+44]==1 && dest[startIdx+53]==1){
693 //confirmed proper separator bits found
694 //return start position
695 return (int) startIdx;
1e090a61 696 }
a1d17964 697 return -5;
1e090a61 698}
699
70459879 700// by marshmellow
701// find viking preamble 0xF200 in already demoded data
702int VikingDemod_AM(uint8_t *dest, size_t *size) {
70459879 703 //make sure buffer has data
704 if (*size < 64*2) return -2;
70459879 705 size_t startIdx = 0;
706 uint8_t preamble[] = {1,1,1,1,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
707 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
708 if (errChk == 0) return -4; //preamble not found
c0afa86f 709 uint32_t checkCalc = bytebits_to_byte(dest+startIdx,8) ^
710 bytebits_to_byte(dest+startIdx+8,8) ^
711 bytebits_to_byte(dest+startIdx+16,8) ^
712 bytebits_to_byte(dest+startIdx+24,8) ^
713 bytebits_to_byte(dest+startIdx+32,8) ^
714 bytebits_to_byte(dest+startIdx+40,8) ^
715 bytebits_to_byte(dest+startIdx+48,8) ^
716 bytebits_to_byte(dest+startIdx+56,8);
717 if ( checkCalc != 0xA8 ) return -5;
57c7b44b 718 if (*size != 64) return -6;
70459879 719 //return start position
deba67ab 720 return (int)startIdx;
70459879 721}
722
5daad826 723// by iceman
724// find Visa2000 preamble in already demoded data
725int Visa2kDemod_AM(uint8_t *dest, size_t *size) {
371a40bf 726 if (*size < 96) return -1; //make sure buffer has data
5daad826 727 size_t startIdx = 0;
728 uint8_t preamble[] = {0,1,0,1,0,1,1,0,0,1,0,0,1,0,0,1,0,1,0,1,0,0,1,1,0,0,1,1,0,0,1,0};
729 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
730 if (errChk == 0) return -2; //preamble not found
731 if (*size != 96) return -3; //wrong demoded size
732 //return start position
deba67ab 733 return (int)startIdx;
5daad826 734}
207fc33a 735// by iceman
736// find Noralsy preamble in already demoded data
737int NoralsyDemod_AM(uint8_t *dest, size_t *size) {
7d269265 738 if (*size < 96) return -1; //make sure buffer has data
207fc33a 739 size_t startIdx = 0;
740 uint8_t preamble[] = {1,0,1,1,1,0,1,1,0,0,0,0};
741 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
742 if (errChk == 0) return -2; //preamble not found
743 if (*size != 96) return -3; //wrong demoded size
744 //return start position
deba67ab 745 return (int)startIdx;
207fc33a 746}
4469412e 747// find presco preamble 0x10D in already demoded data
748int PrescoDemod(uint8_t *dest, size_t *size) {
d48175d4 749 if (*size < 128*2) return -1; //make sure buffer has data
4469412e 750 size_t startIdx = 0;
d48175d4 751 uint8_t preamble[] = {0,0,0,1,0,0,0,0,1,1,0,1,0,0,0,0,0,0,0,0,0,0,0};
4469412e 752 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
d48175d4 753 if (errChk == 0) return -2; //preamble not found
754 if (*size != 128) return -3; //wrong demoded size
4469412e 755 //return start position
deba67ab 756 return (int)startIdx;
4469412e 757}
758
615f21dd 759// Ask/Biphase Demod then try to locate an ISO 11784/85 ID
0df669a2 760// BitStream must contain previously askrawdemod and biphasedemoded data
deba67ab 761int FDXBdemodBI(uint8_t *dest, size_t *size) {
762 if (*size < 128*2) return -1; //make sure buffer has enough data
615f21dd 763 size_t startIdx = 0;
0df669a2 764 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,1};
615f21dd 765 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
0df669a2 766 if (errChk == 0) return -2; //preamble not found
deba67ab 767 if (*size != 128) return -3; //wrong demoded size
768 //return start position
615f21dd 769 return (int)startIdx;
770}
1e090a61 771
6c283951 772// ASK/Diphase fc/64 (inverted Biphase)
773// Note: this i s not a demod, this is only a detection
774// the parameter *dest needs to be demoded before call
deba67ab 775// 0xFFFF preamble, 64bits
6c283951 776int JablotronDemod(uint8_t *dest, size_t *size){
deba67ab 777 if (*size < 64*2) return -1; //make sure buffer has enough data
6c283951 778 size_t startIdx = 0;
deba67ab 779 uint8_t preamble[] = {1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0};
6c283951 780 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
deba67ab 781 if (errChk == 0) return -2; //preamble not found
782 if (*size != 64) return -3; // wrong demoded size
6c283951 783
29ce214c 784 uint8_t checkchksum = 0;
6c283951 785 for (int i=16; i < 56; i += 8) {
29ce214c 786 checkchksum += bytebits_to_byte(dest+startIdx+i,8);
6c283951 787 }
29ce214c 788 checkchksum ^= 0x3A;
29ce214c 789 uint8_t crc = bytebits_to_byte(dest+startIdx+56, 8);
deba67ab 790 if ( checkchksum != crc ) return -5;
6c283951 791 return (int)startIdx;
792}
793
1e090a61 794// by marshmellow
795// FSK Demod then try to locate an AWID ID
a1d17964 796int AWIDdemodFSK(uint8_t *dest, size_t *size)
1e090a61 797{
a1d17964 798 //make sure buffer has enough data
799 if (*size < 96*50) return -1;
800
801 if (justNoise(dest, *size)) return -2;
1e090a61 802
803 // FSK demodulator
a1d17964 804 *size = fskdemod(dest, *size, 50, 1, 10, 8); // fsk2a RF/50
805 if (*size < 96) return -3; //did we get a good demod?
806
807 uint8_t preamble[] = {0,0,0,0,0,0,0,1};
808 size_t startIdx = 0;
809 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
810 if (errChk == 0) return -4; //preamble not found
811 if (*size != 96) return -5;
812 return (int)startIdx;
1e090a61 813}
814
815// by marshmellow
6426f6ba 816// FSK Demod then try to locate a Farpointe Data (pyramid) ID
a1d17964 817int PyramiddemodFSK(uint8_t *dest, size_t *size)
1e090a61 818{
f3bf15e4 819 //make sure buffer has data
820 if (*size < 128*50) return -5;
a1d17964 821
f3bf15e4 822 //test samples are not just noise
823 if (justNoise(dest, *size)) return -1;
1e090a61 824
f3bf15e4 825 // FSK demodulator
826 *size = fskdemod(dest, *size, 50, 1, 10, 8); // fsk2a RF/50
827 if (*size < 128) return -2; //did we get a good demod?
a1d17964 828
c08c796e 829 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,1};
a1d17964 830 size_t startIdx = 0;
831 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
832 if (errChk == 0) return -4; //preamble not found
833 if (*size != 128) return -3;
834 return (int)startIdx;
1e090a61 835}
836
0cbe0cb8 837// find nedap preamble in already demoded data
56bbb25a 838int NedapDemod(uint8_t *dest, size_t *size) {
839 //make sure buffer has data
840 if (*size < 128) return -3;
96faed21 841
56bbb25a 842 size_t startIdx = 0;
15d49e82 843 //uint8_t preamble[] = {1,1,1,1,1,1,1,1,1,0,0,0,1};
844 uint8_t preamble[] = {1,1,1,1,1,1,1,1,1,0};
56bbb25a 845 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
846 if (errChk == 0) return -4; //preamble not found
56bbb25a 847 return (int) startIdx;
848}
849
3acac886 850// Find IDTEC PSK1, RF Preamble == 0x4944544B, Demodsize 64bits
851// by iceman
852int IdteckDemodPSK(uint8_t *dest, size_t *size) {
853 //make sure buffer has data
854 if (*size < 64*2) return -1;
855 size_t startIdx = 0;
856 uint8_t preamble[] = {0,1,0,0,1,0,0,1,0,1,0,0,0,1,0,0,0,1,0,1,0,1,0,0,0,1,0,0,1,0,1,1};
857 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
858 if (errChk == 0) return -2; //preamble not found
859 if (*size != 64) return -3; // wrong demoded size
860 return (int) startIdx;
861}
862
fef74fdc 863// by marshmellow
864// to detect a wave that has heavily clipped (clean) samples
cc15a118 865uint8_t DetectCleanAskWave(uint8_t dest[], size_t size, uint8_t high, uint8_t low)
6de43508 866{
6426f6ba 867 bool allArePeaks = true;
6de43508 868 uint16_t cntPeaks=0;
6426f6ba 869 size_t loopEnd = 512+160;
1fbf8956 870 if (loopEnd > size) loopEnd = size;
6426f6ba 871 for (size_t i=160; i<loopEnd; i++){
6de43508 872 if (dest[i]>low && dest[i]<high)
6426f6ba 873 allArePeaks = false;
6de43508 874 else
875 cntPeaks++;
876 }
6426f6ba 877 if (!allArePeaks){
878 if (cntPeaks > 300) return true;
6de43508 879 }
6426f6ba 880 return allArePeaks;
6de43508 881}
2eec55c8 882// by marshmellow
883// to help detect clocks on heavily clipped samples
cc15a118 884// based on count of low to low
885int DetectStrongAskClock(uint8_t dest[], size_t size, uint8_t high, uint8_t low)
13d77ef9 886{
cc15a118 887 uint8_t fndClk[] = {8,16,32,40,50,64,128};
888 size_t startwave;
6426f6ba 889 size_t i = 100;
cc15a118 890 size_t minClk = 255;
891 // get to first full low to prime loop and skip incomplete first pulse
892 while ((dest[i] < high) && (i < size))
893 ++i;
894 while ((dest[i] > low) && (i < size))
895 ++i;
896
897 // loop through all samples
898 while (i < size) {
899 // measure from low to low
900 while ((dest[i] > low) && (i < size))
901 ++i;
902 startwave= i;
903 while ((dest[i] < high) && (i < size))
904 ++i;
905 while ((dest[i] > low) && (i < size))
906 ++i;
907 //get minimum measured distance
908 if (i-startwave < minClk && i < size)
909 minClk = i - startwave;
13d77ef9 910 }
cc15a118 911 // set clock
a126332a 912 if (g_debugMode==2) prnt("DEBUG ASK: detectstrongASKclk smallest wave: %d",minClk);
cc15a118 913 for (uint8_t clkCnt = 0; clkCnt<7; clkCnt++) {
914 if (minClk >= fndClk[clkCnt]-(fndClk[clkCnt]/8) && minClk <= fndClk[clkCnt]+1)
915 return fndClk[clkCnt];
13d77ef9 916 }
cc15a118 917 return 0;
13d77ef9 918}
919
eb191de6 920// by marshmellow
921// not perfect especially with lower clocks or VERY good antennas (heavy wave clipping)
922// maybe somehow adjust peak trimming value based on samples to fix?
6de43508 923// return start index of best starting position for that clock and return clock (by reference)
924int DetectASKClock(uint8_t dest[], size_t size, int *clock, int maxErr)
eb191de6 925{
6e984446 926 size_t i=1;
cc15a118 927 uint8_t clk[] = {255,8,16,32,40,50,64,100,128,255};
928 uint8_t clkEnd = 9;
2eec55c8 929 uint8_t loopCnt = 255; //don't need to loop through entire array...
6426f6ba 930 if (size <= loopCnt+60) return -1; //not enough samples
931 size -= 60; //sometimes there is a strange end wave - filter out this....
6e984446 932 //if we already have a valid clock
933 uint8_t clockFnd=0;
cc15a118 934 for (;i<clkEnd;++i)
935 if (clk[i] == *clock) clockFnd = i;
6e984446 936 //clock found but continue to find best startpos
e0165dcf 937
938 //get high and low peak
939 int peak, low;
2eec55c8 940 if (getHiLo(dest, loopCnt, &peak, &low, 75, 75) < 1) return -1;
e0165dcf 941
942 //test for large clean peaks
cc15a118 943 if (!clockFnd){
944 if (DetectCleanAskWave(dest, size, peak, low)==1){
945 int ans = DetectStrongAskClock(dest, size, peak, low);
a126332a 946 if (g_debugMode==2) prnt("DEBUG ASK: detectaskclk Clean Ask Wave Detected: clk %d",ans);
cc15a118 947 for (i=clkEnd-1; i>0; i--){
948 if (clk[i] == ans) {
949 *clock = ans;
950 //clockFnd = i;
951 return 0; // for strong waves i don't use the 'best start position' yet...
952 //break; //clock found but continue to find best startpos [not yet]
953 }
e0165dcf 954 }
955 }
956 }
2eec55c8 957 uint8_t ii;
958 uint8_t clkCnt, tol = 0;
959 uint16_t bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
960 uint8_t bestStart[]={0,0,0,0,0,0,0,0,0};
961 size_t errCnt = 0;
962 size_t arrLoc, loopEnd;
6e984446 963
cc15a118 964 if (clockFnd>0) {
965 clkCnt = clockFnd;
966 clkEnd = clockFnd+1;
81e82dfe 967 } else {
968 clkCnt=1;
cc15a118 969 }
cc15a118 970
971 //test each valid clock from smallest to greatest to see which lines up
81e82dfe 972 for(; clkCnt < clkEnd; clkCnt++) {
973 if (clk[clkCnt] <= 32) {
e0165dcf 974 tol=1;
81e82dfe 975 } else {
e0165dcf 976 tol=0;
977 }
2767fc02 978 //if no errors allowed - keep start within the first clock
81e82dfe 979 if (!maxErr && size > clk[clkCnt]*2 + tol && clk[clkCnt]<128)
980 loopCnt = clk[clkCnt] * 2;
981
982 bestErr[clkCnt] = 1000;
983
6e984446 984 //try lining up the peaks by moving starting point (try first few clocks)
cc15a118 985 for (ii=0; ii < loopCnt; ii++){
2eec55c8 986 if (dest[ii] < peak && dest[ii] > low) continue;
987
81e82dfe 988 errCnt = 0;
2eec55c8 989 // now that we have the first one lined up test rest of wave array
990 loopEnd = ((size-ii-tol) / clk[clkCnt]) - 1;
991 for (i=0; i < loopEnd; ++i){
992 arrLoc = ii + (i * clk[clkCnt]);
993 if (dest[arrLoc] >= peak || dest[arrLoc] <= low){
994 }else if (dest[arrLoc-tol] >= peak || dest[arrLoc-tol] <= low){
995 }else if (dest[arrLoc+tol] >= peak || dest[arrLoc+tol] <= low){
996 }else{ //error no peak detected
997 errCnt++;
e0165dcf 998 }
999 }
cc15a118 1000 //if we found no errors then we can stop here and a low clock (common clocks)
2eec55c8 1001 // this is correct one - return this clock
81e82dfe 1002 if (g_debugMode == 2) prnt("DEBUG ASK: clk %d, err %d, startpos %d, endpos %d", clk[clkCnt], errCnt, ii, i);
1003 if (errCnt==0 && clkCnt<7) {
cc15a118 1004 if (!clockFnd) *clock = clk[clkCnt];
2eec55c8 1005 return ii;
1006 }
1007 //if we found errors see if it is lowest so far and save it as best run
81e82dfe 1008 if (errCnt < bestErr[clkCnt]) {
1009 bestErr[clkCnt] = errCnt;
1010 bestStart[clkCnt] = ii;
2eec55c8 1011 }
e0165dcf 1012 }
1013 }
81e82dfe 1014 uint8_t k;
1015 uint8_t best = 0;
1016 for (k=1; k < clkEnd; ++k){
1017 if (bestErr[k] < bestErr[best]){
1018 if (bestErr[k] == 0) bestErr[k]=1;
e0165dcf 1019 // current best bit to error ratio vs new bit to error ratio
81e82dfe 1020 if ( (size/clk[best])/bestErr[best] < (size/clk[k])/bestErr[k] ){
1021 best = k;
e0165dcf 1022 }
1023 }
81e82dfe 1024 if (g_debugMode == 2) prnt("DEBUG ASK: clk %d, # Errors %d, Current Best Clk %d, bestStart %d", clk[k], bestErr[k], clk[best], bestStart[best]);
e0165dcf 1025 }
cc15a118 1026 if (!clockFnd) *clock = clk[best];
e0165dcf 1027 return bestStart[best];
eb191de6 1028}
ba1a299c 1029
1030//by marshmellow
6de43508 1031//detect psk clock by reading each phase shift
1032// a phase shift is determined by measuring the sample length of each wave
1033int DetectPSKClock(uint8_t dest[], size_t size, int clock)
ba1a299c 1034{
e0165dcf 1035 uint8_t clk[]={255,16,32,40,50,64,100,128,255}; //255 is not a valid clock
1036 uint16_t loopCnt = 4096; //don't need to loop through entire array...
1037 if (size == 0) return 0;
6426f6ba 1038 if (size<loopCnt) loopCnt = size-20;
e0165dcf 1039
1040 //if we already have a valid clock quit
1041 size_t i=1;
1042 for (; i < 8; ++i)
1043 if (clk[i] == clock) return clock;
1044
1045 size_t waveStart=0, waveEnd=0, firstFullWave=0, lastClkBit=0;
1046 uint8_t clkCnt, fc=0, fullWaveLen=0, tol=1;
1047 uint16_t peakcnt=0, errCnt=0, waveLenCnt=0;
1048 uint16_t bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
1049 uint16_t peaksdet[]={0,0,0,0,0,0,0,0,0};
2eec55c8 1050 fc = countFC(dest, size, 0);
1051 if (fc!=2 && fc!=4 && fc!=8) return -1;
a126332a 1052 if (g_debugMode==2) prnt("DEBUG PSK: FC: %d",fc);
e0165dcf 1053
1054 //find first full wave
6426f6ba 1055 for (i=160; i<loopCnt; i++){
e0165dcf 1056 if (dest[i] < dest[i+1] && dest[i+1] >= dest[i+2]){
1057 if (waveStart == 0) {
1058 waveStart = i+1;
6426f6ba 1059 //prnt("DEBUG: waveStart: %d",waveStart);
e0165dcf 1060 } else {
1061 waveEnd = i+1;
6426f6ba 1062 //prnt("DEBUG: waveEnd: %d",waveEnd);
e0165dcf 1063 waveLenCnt = waveEnd-waveStart;
1064 if (waveLenCnt > fc){
1065 firstFullWave = waveStart;
1066 fullWaveLen=waveLenCnt;
1067 break;
1068 }
1069 waveStart=0;
1070 }
1071 }
1072 }
a126332a 1073 if (g_debugMode ==2) prnt("DEBUG PSK: firstFullWave: %d, waveLen: %d",firstFullWave,fullWaveLen);
e0165dcf 1074
1075 //test each valid clock from greatest to smallest to see which lines up
1076 for(clkCnt=7; clkCnt >= 1 ; clkCnt--){
1077 lastClkBit = firstFullWave; //set end of wave as clock align
1078 waveStart = 0;
1079 errCnt=0;
1080 peakcnt=0;
a126332a 1081 if (g_debugMode == 2) prnt("DEBUG PSK: clk: %d, lastClkBit: %d",clk[clkCnt],lastClkBit);
e0165dcf 1082
1083 for (i = firstFullWave+fullWaveLen-1; i < loopCnt-2; i++){
1084 //top edge of wave = start of new wave
1085 if (dest[i] < dest[i+1] && dest[i+1] >= dest[i+2]){
1086 if (waveStart == 0) {
1087 waveStart = i+1;
1088 waveLenCnt=0;
1089 } else { //waveEnd
1090 waveEnd = i+1;
1091 waveLenCnt = waveEnd-waveStart;
1092 if (waveLenCnt > fc){
1093 //if this wave is a phase shift
a126332a 1094 if (g_debugMode == 2) prnt("DEBUG PSK: phase shift at: %d, len: %d, nextClk: %d, i: %d, fc: %d",waveStart,waveLenCnt,lastClkBit+clk[clkCnt]-tol,i+1,fc);
e0165dcf 1095 if (i+1 >= lastClkBit + clk[clkCnt] - tol){ //should be a clock bit
1096 peakcnt++;
1097 lastClkBit+=clk[clkCnt];
1098 } else if (i<lastClkBit+8){
1099 //noise after a phase shift - ignore
1100 } else { //phase shift before supposed to based on clock
1101 errCnt++;
1102 }
1103 } else if (i+1 > lastClkBit + clk[clkCnt] + tol + fc){
1104 lastClkBit+=clk[clkCnt]; //no phase shift but clock bit
1105 }
1106 waveStart=i+1;
1107 }
1108 }
1109 }
1110 if (errCnt == 0){
1111 return clk[clkCnt];
1112 }
1113 if (errCnt <= bestErr[clkCnt]) bestErr[clkCnt]=errCnt;
1114 if (peakcnt > peaksdet[clkCnt]) peaksdet[clkCnt]=peakcnt;
1115 }
1116 //all tested with errors
1117 //return the highest clk with the most peaks found
1118 uint8_t best=7;
1119 for (i=7; i>=1; i--){
1120 if (peaksdet[i] > peaksdet[best]) {
1121 best = i;
1122 }
a126332a 1123 if (g_debugMode == 2) prnt("DEBUG PSK: Clk: %d, peaks: %d, errs: %d, bestClk: %d",clk[i],peaksdet[i],bestErr[i],clk[best]);
e0165dcf 1124 }
1125 return clk[best];
ba1a299c 1126}
1127
6426f6ba 1128int DetectStrongNRZClk(uint8_t *dest, size_t size, int peak, int low){
1129 //find shortest transition from high to low
1130 size_t i = 0;
1131 size_t transition1 = 0;
1132 int lowestTransition = 255;
1133 bool lastWasHigh = false;
1134
1135 //find first valid beginning of a high or low wave
1136 while ((dest[i] >= peak || dest[i] <= low) && (i < size))
1137 ++i;
1138 while ((dest[i] < peak && dest[i] > low) && (i < size))
1139 ++i;
1140 lastWasHigh = (dest[i] >= peak);
1141
1142 if (i==size) return 0;
1143 transition1 = i;
1144
1145 for (;i < size; i++) {
1146 if ((dest[i] >= peak && !lastWasHigh) || (dest[i] <= low && lastWasHigh)) {
1147 lastWasHigh = (dest[i] >= peak);
1148 if (i-transition1 < lowestTransition) lowestTransition = i-transition1;
1149 transition1 = i;
1150 }
1151 }
6426f6ba 1152 if (lowestTransition == 255) lowestTransition = 0;
a126332a 1153 if (g_debugMode==2) prnt("DEBUG NRZ: detectstrongNRZclk smallest wave: %d",lowestTransition);
6426f6ba 1154 return lowestTransition;
1155}
1156
6de43508 1157//by marshmellow
1158//detect nrz clock by reading #peaks vs no peaks(or errors)
1159int DetectNRZClock(uint8_t dest[], size_t size, int clock)
ba1a299c 1160{
2eec55c8 1161 size_t i=0;
1162 uint8_t clk[]={8,16,32,40,50,64,100,128,255};
1163 size_t loopCnt = 4096; //don't need to loop through entire array...
e0165dcf 1164 if (size == 0) return 0;
6426f6ba 1165 if (size<loopCnt) loopCnt = size-20;
e0165dcf 1166 //if we already have a valid clock quit
1167 for (; i < 8; ++i)
1168 if (clk[i] == clock) return clock;
1169
1170 //get high and low peak
1171 int peak, low;
2eec55c8 1172 if (getHiLo(dest, loopCnt, &peak, &low, 75, 75) < 1) return 0;
e0165dcf 1173
6426f6ba 1174 int lowestTransition = DetectStrongNRZClk(dest, size-20, peak, low);
2eec55c8 1175 size_t ii;
e0165dcf 1176 uint8_t clkCnt;
1177 uint8_t tol = 0;
6426f6ba 1178 uint16_t smplCnt = 0;
1179 int16_t peakcnt = 0;
1180 int16_t peaksdet[] = {0,0,0,0,0,0,0,0};
1181 uint16_t maxPeak = 255;
1182 bool firstpeak = false;
e0165dcf 1183 //test for large clipped waves
1184 for (i=0; i<loopCnt; i++){
1185 if (dest[i] >= peak || dest[i] <= low){
6426f6ba 1186 if (!firstpeak) continue;
1187 smplCnt++;
e0165dcf 1188 } else {
6426f6ba 1189 firstpeak=true;
1190 if (smplCnt > 6 ){
1191 if (maxPeak > smplCnt){
1192 maxPeak = smplCnt;
1193 //prnt("maxPk: %d",maxPeak);
1194 }
1195 peakcnt++;
1196 //prnt("maxPk: %d, smplCnt: %d, peakcnt: %d",maxPeak,smplCnt,peakcnt);
1197 smplCnt=0;
e0165dcf 1198 }
e0165dcf 1199 }
1200 }
6426f6ba 1201 bool errBitHigh = 0;
1202 bool bitHigh = 0;
1203 uint8_t ignoreCnt = 0;
1204 uint8_t ignoreWindow = 4;
1205 bool lastPeakHigh = 0;
1206 int lastBit = 0;
e0165dcf 1207 peakcnt=0;
1208 //test each valid clock from smallest to greatest to see which lines up
1209 for(clkCnt=0; clkCnt < 8; ++clkCnt){
6426f6ba 1210 //ignore clocks smaller than smallest peak
1211 if (clk[clkCnt] < maxPeak - (clk[clkCnt]/4)) continue;
e0165dcf 1212 //try lining up the peaks by moving starting point (try first 256)
6426f6ba 1213 for (ii=20; ii < loopCnt; ++ii){
e0165dcf 1214 if ((dest[ii] >= peak) || (dest[ii] <= low)){
1215 peakcnt=0;
6426f6ba 1216 bitHigh = false;
1217 ignoreCnt = 0;
1218 lastBit = ii-clk[clkCnt];
1219 //loop through to see if this start location works
1220 for (i = ii; i < size-20; ++i) {
1221 //if we are at a clock bit
1222 if ((i >= lastBit + clk[clkCnt] - tol) && (i <= lastBit + clk[clkCnt] + tol)) {
1223 //test high/low
1224 if (dest[i] >= peak || dest[i] <= low) {
1225 //if same peak don't count it
1226 if ((dest[i] >= peak && !lastPeakHigh) || (dest[i] <= low && lastPeakHigh)) {
e0165dcf 1227 peakcnt++;
6426f6ba 1228 }
1229 lastPeakHigh = (dest[i] >= peak);
1230 bitHigh = true;
1231 errBitHigh = false;
1232 ignoreCnt = ignoreWindow;
1233 lastBit += clk[clkCnt];
1234 } else if (i == lastBit + clk[clkCnt] + tol) {
1235 lastBit += clk[clkCnt];
1236 }
1237 //else if not a clock bit and no peaks
1238 } else if (dest[i] < peak && dest[i] > low){
1239 if (ignoreCnt==0){
1240 bitHigh=false;
1241 if (errBitHigh==true) peakcnt--;
1242 errBitHigh=false;
1243 } else {
1244 ignoreCnt--;
1245 }
1246 // else if not a clock bit but we have a peak
1247 } else if ((dest[i]>=peak || dest[i]<=low) && (!bitHigh)) {
1248 //error bar found no clock...
1249 errBitHigh=true;
e0165dcf 1250 }
1251 }
1252 if(peakcnt>peaksdet[clkCnt]) {
1253 peaksdet[clkCnt]=peakcnt;
1254 }
1255 }
1256 }
1257 }
1258 int iii=7;
2eec55c8 1259 uint8_t best=0;
e0165dcf 1260 for (iii=7; iii > 0; iii--){
6426f6ba 1261 if ((peaksdet[iii] >= (peaksdet[best]-1)) && (peaksdet[iii] <= peaksdet[best]+1) && lowestTransition) {
1262 if (clk[iii] > (lowestTransition - (clk[iii]/8)) && clk[iii] < (lowestTransition + (clk[iii]/8))) {
1263 best = iii;
1264 }
1265 } else if (peaksdet[iii] > peaksdet[best]){
e0165dcf 1266 best = iii;
1267 }
a126332a 1268 if (g_debugMode==2) prnt("DEBUG NRZ: Clk: %d, peaks: %d, maxPeak: %d, bestClk: %d, lowestTrs: %d",clk[iii],peaksdet[iii],maxPeak, clk[best], lowestTransition);
e0165dcf 1269 }
6426f6ba 1270
e0165dcf 1271 return clk[best];
ba1a299c 1272}
1273
04d2721b 1274// by marshmellow
1275// convert psk1 demod to psk2 demod
1276// only transition waves are 1s
4ac9f078 1277void psk1TOpsk2(uint8_t *bits, size_t size) {
1278 uint8_t lastBit = bits[0];
1279 for (size_t i = 1; i < size; i++){
1280 //ignore errors
1281 if (bits[i] == 7) continue;
1282
1283 if (lastBit != bits[i]){
1284 lastBit = bits[i];
1285 bits[i] = 1;
04d2721b 1286 } else {
4ac9f078 1287 bits[i] = 0;
04d2721b 1288 }
1289 }
04d2721b 1290}
ba1a299c 1291
3bc66a96 1292// by marshmellow
1293// convert psk2 demod to psk1 demod
1294// from only transition waves are 1s to phase shifts change bit
4ac9f078 1295void psk2TOpsk1(uint8_t *bits, size_t size) {
1296 uint8_t phase = 0;
1297 for (size_t i = 0; i < size; i++){
1298 if (bits[i] == 1){
1299 phase ^= 1;
3bc66a96 1300 }
4ac9f078 1301 bits[i] = phase;
3bc66a96 1302 }
3bc66a96 1303}
1304
04d2721b 1305// redesigned by marshmellow adjusted from existing decode functions
1306// indala id decoding - only tested on 26 bit tags, but attempted to make it work for more
ba1a299c 1307int indala26decode(uint8_t *bitStream, size_t *size, uint8_t *invert)
1308{
1309 //26 bit 40134 format (don't know other formats)
57c7b44b 1310 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1};
1311 uint8_t preamble_i[] = {1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0};
1312 size_t startidx = 0;
1313 if (!preambleSearch(bitStream, preamble, sizeof(preamble), size, &startidx)){
1314 // if didn't find preamble try again inverting
1315 if (!preambleSearch(bitStream, preamble_i, sizeof(preamble_i), size, &startidx)) return -1;
1316 *invert ^= 1;
1317 }
1318 if (*size != 64 && *size != 224) return -2;
1319 if (*invert==1)
1320 for (size_t i = startidx; i < *size; i++)
1321 bitStream[i] ^= 1;
ba1a299c 1322
57c7b44b 1323 return (int) startidx;
ba1a299c 1324}
1325
05164399 1326// by marshmellow - demodulate NRZ wave - requires a read with strong signal
04d2721b 1327// peaks invert bit (high=1 low=0) each clock cycle = 1 bit determined by last peak
6426f6ba 1328int nrzRawDemod(uint8_t *dest, size_t *size, int *clk, int *invert){
e0165dcf 1329 if (justNoise(dest, *size)) return -1;
1330 *clk = DetectNRZClock(dest, *size, *clk);
1331 if (*clk==0) return -2;
2eec55c8 1332 size_t i, gLen = 4096;
6426f6ba 1333 if (gLen>*size) gLen = *size-20;
e0165dcf 1334 int high, low;
1335 if (getHiLo(dest, gLen, &high, &low, 75, 75) < 1) return -3; //25% fuzz on high 25% fuzz on low
6426f6ba 1336
1337 uint8_t bit=0;
1338 //convert wave samples to 1's and 0's
1339 for(i=20; i < *size-20; i++){
1340 if (dest[i] >= high) bit = 1;
1341 if (dest[i] <= low) bit = 0;
1342 dest[i] = bit;
e0165dcf 1343 }
6426f6ba 1344 //now demod based on clock (rf/32 = 32 1's for one 1 bit, 32 0's for one 0 bit)
1345 size_t lastBit = 0;
1346 size_t numBits = 0;
1347 for(i=21; i < *size-20; i++) {
1348 //if transition detected or large number of same bits - store the passed bits
1349 if (dest[i] != dest[i-1] || (i-lastBit) == (10 * *clk)) {
1350 memset(dest+numBits, dest[i-1] ^ *invert, (i - lastBit + (*clk/4)) / *clk);
1351 numBits += (i - lastBit + (*clk/4)) / *clk;
1352 lastBit = i-1;
e0165dcf 1353 }
e0165dcf 1354 }
6426f6ba 1355 *size = numBits;
1356 return 0;
ba1a299c 1357}
1358
1e090a61 1359//by marshmellow
03e6bb4a 1360//detects the bit clock for FSK given the high and low Field Clocks
1361uint8_t detectFSKClk(uint8_t *BitStream, size_t size, uint8_t fcHigh, uint8_t fcLow)
1e090a61 1362{
e0165dcf 1363 uint8_t clk[] = {8,16,32,40,50,64,100,128,0};
1364 uint16_t rfLens[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1365 uint8_t rfCnts[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1366 uint8_t rfLensFnd = 0;
2eec55c8 1367 uint8_t lastFCcnt = 0;
1368 uint16_t fcCounter = 0;
e0165dcf 1369 uint16_t rfCounter = 0;
1370 uint8_t firstBitFnd = 0;
1371 size_t i;
1372 if (size == 0) return 0;
1373
6426f6ba 1374 uint8_t fcTol = ((fcHigh*100 - fcLow*100)/2 + 50)/100; //(uint8_t)(0.5+(float)(fcHigh-fcLow)/2);
e0165dcf 1375 rfLensFnd=0;
1376 fcCounter=0;
1377 rfCounter=0;
1378 firstBitFnd=0;
a38f5a07 1379 //prnt("DEBUG: fcTol: %d",fcTol);
6426f6ba 1380 // prime i to first peak / up transition
1381 for (i = 160; i < size-20; i++)
e0165dcf 1382 if (BitStream[i] > BitStream[i-1] && BitStream[i]>=BitStream[i+1])
1383 break;
1384
6426f6ba 1385 for (; i < size-20; i++){
2eec55c8 1386 fcCounter++;
1387 rfCounter++;
1388
1389 if (BitStream[i] <= BitStream[i-1] || BitStream[i] < BitStream[i+1])
1390 continue;
1391 // else new peak
1392 // if we got less than the small fc + tolerance then set it to the small fc
1393 if (fcCounter < fcLow+fcTol)
1394 fcCounter = fcLow;
1395 else //set it to the large fc
1396 fcCounter = fcHigh;
1397
1398 //look for bit clock (rf/xx)
1399 if ((fcCounter < lastFCcnt || fcCounter > lastFCcnt)){
1400 //not the same size as the last wave - start of new bit sequence
1401 if (firstBitFnd > 1){ //skip first wave change - probably not a complete bit
1402 for (int ii=0; ii<15; ii++){
6426f6ba 1403 if (rfLens[ii] >= (rfCounter-4) && rfLens[ii] <= (rfCounter+4)){
2eec55c8 1404 rfCnts[ii]++;
1405 rfCounter = 0;
1406 break;
e0165dcf 1407 }
e0165dcf 1408 }
2eec55c8 1409 if (rfCounter > 0 && rfLensFnd < 15){
a38f5a07 1410 //prnt("DEBUG: rfCntr %d, fcCntr %d",rfCounter,fcCounter);
2eec55c8 1411 rfCnts[rfLensFnd]++;
1412 rfLens[rfLensFnd++] = rfCounter;
1413 }
1414 } else {
1415 firstBitFnd++;
e0165dcf 1416 }
2eec55c8 1417 rfCounter=0;
1418 lastFCcnt=fcCounter;
e0165dcf 1419 }
2eec55c8 1420 fcCounter=0;
e0165dcf 1421 }
1422 uint8_t rfHighest=15, rfHighest2=15, rfHighest3=15;
1423
1424 for (i=0; i<15; i++){
e0165dcf 1425 //get highest 2 RF values (might need to get more values to compare or compare all?)
1426 if (rfCnts[i]>rfCnts[rfHighest]){
1427 rfHighest3=rfHighest2;
1428 rfHighest2=rfHighest;
1429 rfHighest=i;
1430 } else if(rfCnts[i]>rfCnts[rfHighest2]){
1431 rfHighest3=rfHighest2;
1432 rfHighest2=i;
1433 } else if(rfCnts[i]>rfCnts[rfHighest3]){
1434 rfHighest3=i;
1435 }
a126332a 1436 if (g_debugMode==2) prnt("DEBUG FSK: RF %d, cnts %d",rfLens[i], rfCnts[i]);
e0165dcf 1437 }
1438 // set allowed clock remainder tolerance to be 1 large field clock length+1
1439 // we could have mistakenly made a 9 a 10 instead of an 8 or visa versa so rfLens could be 1 FC off
1440 uint8_t tol1 = fcHigh+1;
1441
a126332a 1442 if (g_debugMode==2) prnt("DEBUG FSK: most counted rf values: 1 %d, 2 %d, 3 %d",rfLens[rfHighest],rfLens[rfHighest2],rfLens[rfHighest3]);
e0165dcf 1443
1444 // loop to find the highest clock that has a remainder less than the tolerance
1445 // compare samples counted divided by
6426f6ba 1446 // test 128 down to 32 (shouldn't be possible to have fc/10 & fc/8 and rf/16 or less)
e0165dcf 1447 int ii=7;
6426f6ba 1448 for (; ii>=2; ii--){
e0165dcf 1449 if (rfLens[rfHighest] % clk[ii] < tol1 || rfLens[rfHighest] % clk[ii] > clk[ii]-tol1){
1450 if (rfLens[rfHighest2] % clk[ii] < tol1 || rfLens[rfHighest2] % clk[ii] > clk[ii]-tol1){
1451 if (rfLens[rfHighest3] % clk[ii] < tol1 || rfLens[rfHighest3] % clk[ii] > clk[ii]-tol1){
a126332a 1452 if (g_debugMode==2) prnt("DEBUG FSK: clk %d divides into the 3 most rf values within tolerance",clk[ii]);
e0165dcf 1453 break;
1454 }
1455 }
1456 }
1457 }
1458
1459 if (ii<0) return 0; // oops we went too far
1460
1461 return clk[ii];
03e6bb4a 1462}
1e090a61 1463
03e6bb4a 1464//by marshmellow
1465//countFC is to detect the field clock lengths.
1466//counts and returns the 2 most common wave lengths
6de43508 1467//mainly used for FSK field clock detection
2eec55c8 1468uint16_t countFC(uint8_t *BitStream, size_t size, uint8_t fskAdj)
03e6bb4a 1469{
6426f6ba 1470 uint8_t fcLens[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1471 uint16_t fcCnts[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
e0165dcf 1472 uint8_t fcLensFnd = 0;
1a4b9073 1473 uint8_t lastFCcnt = 0;
2eec55c8 1474 uint8_t fcCounter = 0;
e0165dcf 1475 size_t i;
1a4b9073 1476 if (size < 180) return 0;
e0165dcf 1477
1478 // prime i to first up transition
6426f6ba 1479 for (i = 160; i < size-20; i++)
e0165dcf 1480 if (BitStream[i] > BitStream[i-1] && BitStream[i] >= BitStream[i+1])
1481 break;
1482
6426f6ba 1483 for (; i < size-20; i++){
e0165dcf 1484 if (BitStream[i] > BitStream[i-1] && BitStream[i] >= BitStream[i+1]){
1485 // new up transition
1486 fcCounter++;
2eec55c8 1487 if (fskAdj){
1488 //if we had 5 and now have 9 then go back to 8 (for when we get a fc 9 instead of an 8)
1489 if (lastFCcnt==5 && fcCounter==9) fcCounter--;
1490 //if fc=9 or 4 add one (for when we get a fc 9 instead of 10 or a 4 instead of a 5)
1491 if ((fcCounter==9) || fcCounter==4) fcCounter++;
e0165dcf 1492 // save last field clock count (fc/xx)
2eec55c8 1493 lastFCcnt = fcCounter;
1494 }
e0165dcf 1495 // find which fcLens to save it to:
6426f6ba 1496 for (int ii=0; ii<15; ii++){
e0165dcf 1497 if (fcLens[ii]==fcCounter){
1498 fcCnts[ii]++;
1499 fcCounter=0;
1500 break;
1501 }
1502 }
6426f6ba 1503 if (fcCounter>0 && fcLensFnd<15){
e0165dcf 1504 //add new fc length
1505 fcCnts[fcLensFnd]++;
1506 fcLens[fcLensFnd++]=fcCounter;
1507 }
1508 fcCounter=0;
1509 } else {
1510 // count sample
1511 fcCounter++;
1512 }
1513 }
1514
6426f6ba 1515 uint8_t best1=14, best2=14, best3=14;
e0165dcf 1516 uint16_t maxCnt1=0;
1517 // go through fclens and find which ones are bigest 2
6426f6ba 1518 for (i=0; i<15; i++){
e0165dcf 1519 // get the 3 best FC values
1520 if (fcCnts[i]>maxCnt1) {
1521 best3=best2;
1522 best2=best1;
1523 maxCnt1=fcCnts[i];
1524 best1=i;
1525 } else if(fcCnts[i]>fcCnts[best2]){
1526 best3=best2;
1527 best2=i;
1528 } else if(fcCnts[i]>fcCnts[best3]){
1529 best3=i;
1530 }
a126332a 1531 if (g_debugMode==2) prnt("DEBUG countfc: FC %u, Cnt %u, best fc: %u, best2 fc: %u",fcLens[i],fcCnts[i],fcLens[best1],fcLens[best2]);
e0165dcf 1532 }
6426f6ba 1533 if (fcLens[best1]==0) return 0;
e0165dcf 1534 uint8_t fcH=0, fcL=0;
1535 if (fcLens[best1]>fcLens[best2]){
1536 fcH=fcLens[best1];
1537 fcL=fcLens[best2];
1538 } else{
1539 fcH=fcLens[best2];
1540 fcL=fcLens[best1];
1541 }
a126332a 1542 if ((size-180)/fcH/3 > fcCnts[best1]+fcCnts[best2]) {
1543 if (g_debugMode==2) prnt("DEBUG countfc: fc is too large: %u > %u. Not psk or fsk",(size-180)/fcH/3,fcCnts[best1]+fcCnts[best2]);
1544 return 0; //lots of waves not psk or fsk
1545 }
e0165dcf 1546 // TODO: take top 3 answers and compare to known Field clocks to get top 2
1547
1548 uint16_t fcs = (((uint16_t)fcH)<<8) | fcL;
2eec55c8 1549 if (fskAdj) return fcs;
1550 return fcLens[best1];
6de43508 1551}
1552
1553//by marshmellow - demodulate PSK1 wave
1554//uses wave lengths (# Samples)
1555int pskRawDemod(uint8_t dest[], size_t *size, int *clock, int *invert)
1556{
e0165dcf 1557 if (size == 0) return -1;
2eec55c8 1558 uint16_t loopCnt = 4096; //don't need to loop through entire array...
e0165dcf 1559 if (*size<loopCnt) loopCnt = *size;
1560
6426f6ba 1561 size_t numBits=0;
e0165dcf 1562 uint8_t curPhase = *invert;
4ac9f078 1563 size_t i=0, waveStart=1, waveEnd=0, firstFullWave=0, lastClkBit=0;
e0165dcf 1564 uint8_t fc=0, fullWaveLen=0, tol=1;
1565 uint16_t errCnt=0, waveLenCnt=0;
2eec55c8 1566 fc = countFC(dest, *size, 0);
e0165dcf 1567 if (fc!=2 && fc!=4 && fc!=8) return -1;
a38f5a07 1568 //prnt("DEBUG: FC: %d",fc);
e0165dcf 1569 *clock = DetectPSKClock(dest, *size, *clock);
2eec55c8 1570 if (*clock == 0) return -1;
4ac9f078 1571 // jump to modulating data by finding the first 2 threshold crossings (or first 1 waves)
1572 // in case you have junk or noise at the beginning of the trace...
1573 uint8_t thresholdCnt = 0;
1574 size_t waveSizeCnt = 0;
1575 uint8_t threshold_value = 123; //-5
1576 bool isAboveThreshold = dest[i++] >= threshold_value;
1577 for (; i < *size-20; i++ ) {
1578 if(dest[i] < threshold_value && isAboveThreshold) {
1579 thresholdCnt++;
1580 if (thresholdCnt > 2 && waveSizeCnt < fc+1) break;
1581 isAboveThreshold = false;
1582 waveSizeCnt = 0;
1583 } else if (dest[i] >= threshold_value && !isAboveThreshold) {
1584 thresholdCnt++;
1585 if (thresholdCnt > 2 && waveSizeCnt < fc+1) break;
1586 isAboveThreshold = true;
1587 waveSizeCnt = 0;
1588 } else {
1589 waveSizeCnt++;
1590 }
1591 if (thresholdCnt > 10) break;
1592 }
1593 if (g_debugMode == 2) prnt("DEBUG PSK: threshold Count reached at %u, count: %u",i, thresholdCnt);
1594
1595
e0165dcf 1596 int avgWaveVal=0, lastAvgWaveVal=0;
4ac9f078 1597 waveStart = i+1;
e0165dcf 1598 //find first phase shift
4ac9f078 1599 for (; i<loopCnt; i++){
e0165dcf 1600 if (dest[i]+fc < dest[i+1] && dest[i+1] >= dest[i+2]){
1601 waveEnd = i+1;
4ac9f078 1602 if (g_debugMode == 2) prnt("DEBUG PSK: waveEnd: %u, waveStart: %u",waveEnd, waveStart);
e0165dcf 1603 waveLenCnt = waveEnd-waveStart;
4ac9f078 1604 if (waveLenCnt > fc && waveStart > fc && !(waveLenCnt > fc+3)){ //not first peak and is a large wave but not out of whack
e0165dcf 1605 lastAvgWaveVal = avgWaveVal/(waveLenCnt);
1606 firstFullWave = waveStart;
1607 fullWaveLen=waveLenCnt;
1608 //if average wave value is > graph 0 then it is an up wave or a 1
4ac9f078 1609 if (lastAvgWaveVal > threshold_value) curPhase ^= 1; //fudge graph 0 a little 123 vs 128
e0165dcf 1610 break;
1611 }
1612 waveStart = i+1;
1613 avgWaveVal = 0;
1614 }
2eec55c8 1615 avgWaveVal += dest[i+2];
e0165dcf 1616 }
6426f6ba 1617 if (firstFullWave == 0) {
1618 // no phase shift detected - could be all 1's or 0's - doesn't matter where we start
1619 // so skip a little to ensure we are past any Start Signal
1620 firstFullWave = 160;
1621 memset(dest, curPhase, firstFullWave / *clock);
1622 } else {
1623 memset(dest, curPhase^1, firstFullWave / *clock);
1624 }
1625 //advance bits
1626 numBits += (firstFullWave / *clock);
1627 //set start of wave as clock align
1628 lastClkBit = firstFullWave;
7fa7e812 1629 if (g_debugMode==2) prnt("DEBUG PSK: firstFullWave: %u, waveLen: %u",firstFullWave,fullWaveLen);
1630 if (g_debugMode==2) prnt("DEBUG: clk: %d, lastClkBit: %u, fc: %u", *clock, lastClkBit,(unsigned int) fc);
e0165dcf 1631 waveStart = 0;
e0165dcf 1632 dest[numBits++] = curPhase; //set first read bit
2eec55c8 1633 for (i = firstFullWave + fullWaveLen - 1; i < *size-3; i++){
e0165dcf 1634 //top edge of wave = start of new wave
1635 if (dest[i]+fc < dest[i+1] && dest[i+1] >= dest[i+2]){
1636 if (waveStart == 0) {
1637 waveStart = i+1;
2eec55c8 1638 waveLenCnt = 0;
e0165dcf 1639 avgWaveVal = dest[i+1];
1640 } else { //waveEnd
1641 waveEnd = i+1;
1642 waveLenCnt = waveEnd-waveStart;
1643 lastAvgWaveVal = avgWaveVal/waveLenCnt;
1644 if (waveLenCnt > fc){
a38f5a07 1645 //prnt("DEBUG: avgWaveVal: %d, waveSum: %d",lastAvgWaveVal,avgWaveVal);
2eec55c8 1646 //this wave is a phase shift
a38f5a07 1647 //prnt("DEBUG: phase shift at: %d, len: %d, nextClk: %d, i: %d, fc: %d",waveStart,waveLenCnt,lastClkBit+*clock-tol,i+1,fc);
e0165dcf 1648 if (i+1 >= lastClkBit + *clock - tol){ //should be a clock bit
2eec55c8 1649 curPhase ^= 1;
e0165dcf 1650 dest[numBits++] = curPhase;
1651 lastClkBit += *clock;
2eec55c8 1652 } else if (i < lastClkBit+10+fc){
e0165dcf 1653 //noise after a phase shift - ignore
1654 } else { //phase shift before supposed to based on clock
1655 errCnt++;
2767fc02 1656 dest[numBits++] = 7;
e0165dcf 1657 }
1658 } else if (i+1 > lastClkBit + *clock + tol + fc){
1659 lastClkBit += *clock; //no phase shift but clock bit
1660 dest[numBits++] = curPhase;
1661 }
2eec55c8 1662 avgWaveVal = 0;
1663 waveStart = i+1;
e0165dcf 1664 }
1665 }
2eec55c8 1666 avgWaveVal += dest[i+1];
e0165dcf 1667 }
1668 *size = numBits;
1669 return errCnt;
6de43508 1670}
05164399 1671
1672//by marshmellow
1673//attempt to identify a Sequence Terminator in ASK modulated raw wave
1674bool DetectST(uint8_t buffer[], size_t *size, int *foundclock) {
1675 size_t bufsize = *size;
1676 //need to loop through all samples and identify our clock, look for the ST pattern
1677 uint8_t fndClk[] = {8,16,32,40,50,64,128};
1678 int clk = 0;
1679 int tol = 0;
1680 int i, j, skip, start, end, low, high, minClk, waveStart;
1681 bool complete = false;
a38f5a07 1682 int tmpbuff[bufsize / 32]; //guess rf/32 clock, if click is smaller we will only have room for a fraction of the samples captured
1683 int waveLen[bufsize / 32]; // if clock is larger then we waste memory in array size that is not needed...
05164399 1684 size_t testsize = (bufsize < 512) ? bufsize : 512;
1685 int phaseoff = 0;
1686 high = low = 128;
1687 memset(tmpbuff, 0, sizeof(tmpbuff));
a38f5a07 1688 memset(waveLen, 0, sizeof(waveLen));
05164399 1689
a38f5a07 1690
05164399 1691 if ( getHiLo(buffer, testsize, &high, &low, 80, 80) == -1 ) {
1692 if (g_debugMode==2) prnt("DEBUG STT: just noise detected - quitting");
1693 return false; //just noise
1694 }
1695 i = 0;
1696 j = 0;
1697 minClk = 255;
1698 // get to first full low to prime loop and skip incomplete first pulse
1699 while ((buffer[i] < high) && (i < bufsize))
1700 ++i;
1701 while ((buffer[i] > low) && (i < bufsize))
1702 ++i;
1703 skip = i;
1704
1705 // populate tmpbuff buffer with pulse lengths
1706 while (i < bufsize) {
1707 // measure from low to low
1708 while ((buffer[i] > low) && (i < bufsize))
1709 ++i;
1710 start= i;
1711 while ((buffer[i] < high) && (i < bufsize))
1712 ++i;
1713 //first high point for this wave
1714 waveStart = i;
1715 while ((buffer[i] > low) && (i < bufsize))
1716 ++i;
a38f5a07 1717 if (j >= (bufsize/32)) {
05164399 1718 break;
1719 }
1720 waveLen[j] = i - waveStart; //first high to first low
1721 tmpbuff[j++] = i - start;
1722 if (i-start < minClk && i < bufsize) {
1723 minClk = i - start;
1724 }
1725 }
1726 // set clock - might be able to get this externally and remove this work...
1727 if (!clk) {
1728 for (uint8_t clkCnt = 0; clkCnt<7; clkCnt++) {
1729 tol = fndClk[clkCnt]/8;
1730 if (minClk >= fndClk[clkCnt]-tol && minClk <= fndClk[clkCnt]+1) {
1731 clk=fndClk[clkCnt];
1732 break;
1733 }
1734 }
1735 // clock not found - ERROR
1736 if (!clk) {
1737 if (g_debugMode==2) prnt("DEBUG STT: clock not found - quitting");
1738 return false;
1739 }
1740 } else tol = clk/8;
1741
1742 *foundclock = clk;
1743
1744 // look for Sequence Terminator - should be pulses of clk*(1 or 1.5), clk*2, clk*(1.5 or 2)
1745 start = -1;
1746 for (i = 0; i < j - 4; ++i) {
1747 skip += tmpbuff[i];
1748 if (tmpbuff[i] >= clk*1-tol && tmpbuff[i] <= (clk*2)+tol && waveLen[i] < clk+tol) { //1 to 2 clocks depending on 2 bits prior
1749 if (tmpbuff[i+1] >= clk*2-tol && tmpbuff[i+1] <= clk*2+tol && waveLen[i+1] > clk*3/2-tol) { //2 clocks and wave size is 1 1/2
1750 if (tmpbuff[i+2] >= (clk*3)/2-tol && tmpbuff[i+2] <= clk*2+tol && waveLen[i+2] > clk-tol) { //1 1/2 to 2 clocks and at least one full clock wave
1751 if (tmpbuff[i+3] >= clk*1-tol && tmpbuff[i+3] <= clk*2+tol) { //1 to 2 clocks for end of ST + first bit
1752 start = i + 3;
1753 break;
1754 }
1755 }
1756 }
1757 }
1758 }
1759 // first ST not found - ERROR
1760 if (start < 0) {
1761 if (g_debugMode==2) prnt("DEBUG STT: first STT not found - quitting");
1762 return false;
a38f5a07 1763 } else {
1764 if (g_debugMode==2) prnt("DEBUG STT: first STT found at: %d, j=%d",start, j);
05164399 1765 }
1766 if (waveLen[i+2] > clk*1+tol)
1767 phaseoff = 0;
1768 else
1769 phaseoff = clk/2;
1770
1771 // skip over the remainder of ST
1772 skip += clk*7/2; //3.5 clocks from tmpbuff[i] = end of st - also aligns for ending point
1773
1774 // now do it again to find the end
1775 end = skip;
1776 for (i += 3; i < j - 4; ++i) {
1777 end += tmpbuff[i];
a38f5a07 1778 if (tmpbuff[i] >= clk*1-tol && tmpbuff[i] <= (clk*2)+tol && waveLen[i] < clk+tol) { //1 to 2 clocks depending on 2 bits prior
05164399 1779 if (tmpbuff[i+1] >= clk*2-tol && tmpbuff[i+1] <= clk*2+tol && waveLen[i+1] > clk*3/2-tol) { //2 clocks and wave size is 1 1/2
1780 if (tmpbuff[i+2] >= (clk*3)/2-tol && tmpbuff[i+2] <= clk*2+tol && waveLen[i+2] > clk-tol) { //1 1/2 to 2 clocks and at least one full clock wave
1781 if (tmpbuff[i+3] >= clk*1-tol && tmpbuff[i+3] <= clk*2+tol) { //1 to 2 clocks for end of ST + first bit
1782 complete = true;
1783 break;
1784 }
1785 }
1786 }
1787 }
1788 }
1789 end -= phaseoff;
1790 //didn't find second ST - ERROR
1791 if (!complete) {
1792 if (g_debugMode==2) prnt("DEBUG STT: second STT not found - quitting");
1793 return false;
1794 }
1795 if (g_debugMode==2) prnt("DEBUG STT: start of data: %d end of data: %d, datalen: %d, clk: %d, bits: %d, phaseoff: %d", skip, end, end-skip, clk, (end-skip)/clk, phaseoff);
1796 //now begin to trim out ST so we can use normal demod cmds
1797 start = skip;
1798 size_t datalen = end - start;
1799 // check validity of datalen (should be even clock increments) - use a tolerance of up to 1/8th a clock
a38f5a07 1800 if ( clk - (datalen % clk) <= clk/8) {
1801 // padd the amount off - could be problematic... but shouldn't happen often
1802 datalen += clk - (datalen % clk);
1803 } else if ( (datalen % clk) <= clk/8 ) {
1804 // padd the amount off - could be problematic... but shouldn't happen often
1805 datalen -= datalen % clk;
1806 } else {
05164399 1807 if (g_debugMode==2) prnt("DEBUG STT: datalen not divisible by clk: %u %% %d = %d - quitting", datalen, clk, datalen % clk);
1808 return false;
05164399 1809 }
1810 // if datalen is less than one t55xx block - ERROR
1811 if (datalen/clk < 8*4) {
1812 if (g_debugMode==2) prnt("DEBUG STT: datalen is less than 1 full t55xx block - quitting");
1813 return false;
1814 }
1815 size_t dataloc = start;
a38f5a07 1816 if (buffer[dataloc-(clk*4)-(clk/8)] <= low && buffer[dataloc] <= low && buffer[dataloc-(clk*4)] >= high) {
1817 //we have low drift (and a low just before the ST and a low just after the ST) - compensate by backing up the start
1818 for ( i=0; i <= (clk/8); ++i ) {
1819 if ( buffer[dataloc - (clk*4) - i] <= low ) {
1820 dataloc -= i;
1821 break;
1822 }
1823 }
1824 }
1825
05164399 1826 size_t newloc = 0;
1827 i=0;
a38f5a07 1828 if (g_debugMode==2) prnt("DEBUG STT: Starting STT trim - start: %d, datalen: %d ",dataloc, datalen);
1829
05164399 1830 // warning - overwriting buffer given with raw wave data with ST removed...
1831 while ( dataloc < bufsize-(clk/2) ) {
7fa7e812 1832 //compensate for long high at end of ST not being high due to signal loss... (and we cut out the start of wave high part)
05164399 1833 if (buffer[dataloc]<high && buffer[dataloc]>low && buffer[dataloc+3]<high && buffer[dataloc+3]>low) {
1834 for(i=0; i < clk/2-tol; ++i) {
1835 buffer[dataloc+i] = high+5;
1836 }
1837 }
1838 for (i=0; i<datalen; ++i) {
1839 if (i+newloc < bufsize) {
1840 if (i+newloc < dataloc)
1841 buffer[i+newloc] = buffer[dataloc];
1842
1843 dataloc++;
1844 }
1845 }
1846 newloc += i;
7fa7e812 1847 //skip next ST - we just assume it will be there from now on...
a38f5a07 1848 if (g_debugMode==2) prnt("DEBUG STT: skipping STT at %d to %d", dataloc, dataloc+(clk*4));
05164399 1849 dataloc += clk*4;
1850 }
1851 *size = newloc;
1852 return true;
1853}
Impressum, Datenschutz