NET "PCI_nSTOP" LOC = "A12" | IOSTANDARD = PCI33_3 | SLEW = FAST ;
NET "PCI_nTRDY" LOC = "B13" | IOSTANDARD = PCI33_3 | SLEW = FAST ;
NET "PCI_PAR" LOC = "A9" | IOSTANDARD = PCI33_3 | SLEW = FAST ;
+NET "PCI_nREQ" LOC = "C18" | IOSTANDARD = PCI33_3 ;
NET "LED5" LOC = "AB4" | IOSTANDARD = LVCMOS33 ;
NET "LED4" LOC = "AA4" | IOSTANDARD = LVCMOS33 ;
NET "IDE1" LOC = "Y1" | IOSTANDARD = LVCMOS33 ;
-- General \r
PCI_CLK : in std_logic;\r
PCI_nRES : in std_logic;\r
+ PCI_nREQ : out std_logic;\r
\r
-- PCI target 32bits\r
PCI_AD : inout std_logic_vector(31 downto 0);\r
\r
begin\r
\r
+ PCI_nREQ <= '1';\r
+\r
--+-----------------------------------------+\r
--| PCI Target |\r
--+-----------------------------------------+\r