- \r
- -- General \r
- clk_i : in std_logic;\r
- nrst_i : in std_logic;\r
- \r
- -- Master whisbone\r
- wb_adr_i : in std_logic_vector(24 downto 1); \r
- wb_dat_o : out std_logic_vector(15 downto 0);\r
- wb_dat_i : in std_logic_vector(15 downto 0);\r
- wb_sel_i : in std_logic_vector(1 downto 0);\r
- wb_we_i : in std_logic;\r
- wb_stb_i : in std_logic;\r
- wb_cyc_i : in std_logic;\r
- wb_ack_o : out std_logic;\r
- wb_err_o : out std_logic;\r
- wb_int_o : out std_logic;\r
-\r
- -- 7seg\r
- DISP_SEL : inout std_logic_vector(3 downto 0);\r
- DISP_LED : out std_logic_vector(6 downto 0)\r
-\r
- );\r
-end component;\r
-\r
-\r
-component vgaController is\r
- Port ( mclk : in std_logic;\r
- hs : out std_logic;\r
- vs : out std_logic;\r
- red : out std_logic;\r
- grn : out std_logic;\r
- blu : out std_logic);\r
+ clk_i : in std_logic;\r
+ nrst_i : in std_logic;\r
+ led_o : out std_logic\r
+);\r