]> git.zerfleddert.de Git - raggedstone/commitdiff
IDSEL
authormichael <michael>
Sun, 11 Mar 2007 12:32:35 +0000 (12:32 +0000)
committermichael <michael>
Sun, 11 Mar 2007 12:32:35 +0000 (12:32 +0000)
dhwk/source/pci/top.vhd

index e16f5123d7d835ebfdc14986a343ade147b95765..01c30b6406260143bc7c7e0df2d9ee97528b7e3d 100644 (file)
@@ -252,7 +252,7 @@ begin
         SERIAL_IN <= SERIAL_OUT;
         SPC_RDY_IN <= SPC_RDY_OUT;
         LED_2 <= not PCI_RSTn;
         SERIAL_IN <= SERIAL_OUT;
         SPC_RDY_IN <= SPC_RDY_OUT;
         LED_2 <= not PCI_RSTn;
-        LED_3 <= PCI_IDSEL;
+        LED_3 <= not PCI_IDSEL;
         LED_4 <= not PCI_FRAMEn;
         LED_5 <= not watch_PCI_INTAn;
         PCI_INTAn <= watch_PCI_INTAn;
         LED_4 <= not PCI_FRAMEn;
         LED_5 <= not watch_PCI_INTAn;
         PCI_INTAn <= watch_PCI_INTAn;
@@ -263,6 +263,7 @@ begin
         3 => S_FIFO_READn,
         4 => S_FIFO_WRITEn,
         5 => PCI_RSTn,
         3 => S_FIFO_READn,
         4 => S_FIFO_WRITEn,
         5 => PCI_RSTn,
+       6 => PCI_IDSEL,
         16 => PCI_AD(0),
         17 => PCI_AD(1),
         18 => PCI_AD(2),
         16 => PCI_AD(0),
         17 => PCI_AD(1),
         18 => PCI_AD(2),
Impressum, Datenschutz