]> git.zerfleddert.de Git - raggedstone/commitdiff
Make Heartbeat work
authormichael <michael>
Sat, 10 Feb 2007 16:05:37 +0000 (16:05 +0000)
committermichael <michael>
Sat, 10 Feb 2007 16:05:37 +0000 (16:05 +0000)
don't do mcs

heartbeat/Makefile
heartbeat/source/heartbeat.vhd

index 0399ea3d0dc70db5e75976d5d4e76da5440e7b90..4c6ffc7eb6939cc6a94f6e9e28fb5785ee533fe2 100644 (file)
@@ -7,7 +7,7 @@ $(shell mkdir tmp)
 
 PROJECT := pci_7seg
 
-all: xst ngdbuild map par trace prom mcs final
+all: xst ngdbuild map par trace prom final
 
 log:
        time make all &>build.log
@@ -77,3 +77,6 @@ clean:
        rm -rf _ngo xst 
        rm -rf build.log
        rm -rf $(PROJECT).unroutes *.xml
+
+load:
+       impact -batch xc3s1500.batch
index 76f084d8feaa4ce72a00a338ab68a53a184e2e6d..6938e3fd728284888fb00e38c55cd28da4370c28 100644 (file)
@@ -5,7 +5,7 @@ use ieee.std_logic_unsigned.all;
 
 entity heartbeat is
 generic (
-       divider : integer := 33000000
+       divider : std_logic_vector(31 downto 0) := X"01F78A40"
 );
 
 port (
@@ -32,6 +32,7 @@ if (clk_i'event AND clk_i = '1') then
                counter := counter + 1;
                if counter = divider then
                        state := not state;
+                       counter := (others => '0');
                end if;
        end if;
 end if;
Impressum, Datenschutz