]> git.zerfleddert.de Git - raggedstone/commitdiff
+= use xilinx block ram for ethernet
authorsithglan <sithglan>
Tue, 20 Mar 2007 22:17:38 +0000 (22:17 +0000)
committersithglan <sithglan>
Tue, 20 Mar 2007 22:17:38 +0000 (22:17 +0000)
ethernet/source/ethernet/eth_defines.v

index 26001f5fad1d748e60390cbf66e919ff4db0630f..aaad999e6e8187955a5ed5ff2ea301cbb4955f36 100644 (file)
 // CVS Revision History
 //
 // $Log: eth_defines.v,v $
-// Revision 1.1  2007-03-20 17:50:56  sithglan
-// add shit
+// Revision 1.2  2007-03-20 22:17:38  sithglan
+// += use xilinx block ram for ethernet
+//
+// Revision 1.1  2007/03/19 16:44:04  sithglan
+// lot of new files
 //
 // Revision 1.34  2005/02/21 12:48:06  igorm
 // Warning fixes.
 `define ETH_MBIST_CTRL_WIDTH 3        // width of MBIST control bus
 
 // Ethernet implemented in Xilinx Chips (uncomment following lines)
-// `define ETH_FIFO_XILINX             // Use Xilinx distributed ram for tx and rx fifo
+`define ETH_FIFO_XILINX             // Use Xilinx distributed ram for tx and rx fifo
 // `define ETH_XILINX_RAMB4            // Selection of the used memory for Buffer descriptors
                                       // Core is going to be implemented in Virtex FPGA and contains Virtex 
                                       // specific elements. 
Impressum, Datenschutz