]> git.zerfleddert.de Git - raggedstone/commitdiff
merge config space
authorsithglan <sithglan>
Sun, 11 Mar 2007 10:54:47 +0000 (10:54 +0000)
committersithglan <sithglan>
Sun, 11 Mar 2007 10:54:47 +0000 (10:54 +0000)
dhwk/dhwk.prj
dhwk/source/pci/config_08h.vhd [deleted file]
dhwk/source/pci/config_space_header.vhd

index e8c63b73428b73dcc91708149c893b187d30b75d..90b711408a6af66ef1cc4ac157c98790b1321daf 100644 (file)
@@ -4,7 +4,6 @@ vhdl work "source/pci/address_register.vhd"
 vhdl work "source/pci/comm_dec.vhd"
 vhdl work "source/pci/comm_fsm.vhd"
 vhdl work "source/pci/config_04h.vhd"
-vhdl work "source/pci/config_08h.vhd"
 vhdl work "source/pci/config_10h.vhd"
 vhdl work "source/pci/config_3Ch.vhd"
 vhdl work "source/pci/config_mux_0.vhd"
diff --git a/dhwk/source/pci/config_08h.vhd b/dhwk/source/pci/config_08h.vhd
deleted file mode 100644 (file)
index 7b19df6..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
--- J.STELZNER
--- INFORMATIK-3 LABOR
--- 23.08.2006
--- File: CONFIG_08H.VHD
-
-library IEEE;
-use IEEE.std_logic_1164.all;
-
-entity CONFIG_08H is
-        port
-        (
-                REVISION_ID :in std_logic_vector ( 7 downto 0);
-                CONF_DATA_08H :out std_logic_vector (31 downto 0)
-        );
-end entity CONFIG_08H;
-
-architecture CONFIG_08H_DESIGN of CONFIG_08H is
-
- -- PCI Configuration Space Header Addr : HEX 08 --
-
-        constant CONF_CLASS_CODE :std_logic_vector (31 downto 8) := X"078000";--other comm. device
-        --constant CONF_REVISION_ID :std_logic_vector ( 7 downto 0) := X"00";
-
-begin
-
-        CONF_DATA_08H <= CONF_CLASS_CODE & REVISION_ID;
-
-end architecture CONFIG_08H_DESIGN;
index de5798384e95440898d64172cfc16f06f93e8b25..0cd142012c487f435c33ffd6184bb9c648aee0f1 100644 (file)
@@ -28,6 +28,8 @@ end CONFIG_SPACE_HEADER;
 architecture SCHEMATIC of CONFIG_SPACE_HEADER is
 
         constant CONF_DEVICE_ID :std_logic_vector(31 downto 16) := X"AFFE";
+        --other comm. device
+        constant CONF_CLASS_CODE :std_logic_vector (31 downto 8) := X"078000";
 
         SIGNAL gnd : std_logic := '0';
         SIGNAL vcc : std_logic := '1';
@@ -86,11 +88,6 @@ architecture SCHEMATIC of CONFIG_SPACE_HEADER is
                        CONF_DATA_10H : Out std_logic_vector (31 downto 0) );
         end component;
 
-        component CONFIG_08H
-                Port ( REVISION_ID : In std_logic_vector (7 downto 0);
-                       CONF_DATA_08H : Out std_logic_vector (31 downto 0) );
-        end component;
-
         component CONFIG_04H
                 Port ( AD_REG : In std_logic_vector (31 downto 0);
                        CBE_REGn : In std_logic_vector (3 downto 0);
@@ -104,6 +101,7 @@ architecture SCHEMATIC of CONFIG_SPACE_HEADER is
 
 begin
         CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID;
+        CONF_DATA_08H <= CONF_CLASS_CODE & REVISION_ID;
 
         CONF_DATA_04H <= CONF_DATA_04H_DUMMY;
         CONF_DATA_10H <= CONF_DATA_10H_DUMMY;
@@ -137,9 +135,6 @@ begin
         CONF_WR_10H=>CONF_WR_10H, PCI_CLOCK=>PCI_CLOCK,
         PCI_RSTn=>PCI_RSTn,
         CONF_DATA_10H(31 downto 0)=>CONF_DATA_10H_DUMMY(31 downto 0) );
-        I4 : CONFIG_08H
-        Port Map ( REVISION_ID(7 downto 0)=>REVISION_ID(7 downto 0),
-        CONF_DATA_08H(31 downto 0)=>CONF_DATA_08H(31 downto 0) );
         I2 : CONFIG_04H
         Port Map ( AD_REG(31 downto 0)=>AD_REG(31 downto 0),
         CBE_REGn(3 downto 0)=>CBE_REGn(3 downto 0),
Impressum, Datenschutz