]> git.zerfleddert.de Git - proxmark3-svn/history - fpga/hi_simulate.v
fix 'hf iclass sim'
[proxmark3-svn] / fpga / hi_simulate.v
2019-10-08 pwpiwifix 'hf iclass sim'
2019-09-24 pwpiwiMerge branch 'master' into fix_iclass_sim
2019-09-24 pwpiwifix 'hf iclass sim':
2019-09-11 pwpiwifix 'hf iclass sim':
2019-03-24 pwpiwiFPGA changes (#803)
2018-10-23 pwpiwiFix hf 15 sim (#696)
2018-09-14 grauerfuchsMerge branch 'master' into master
2018-09-09 AntiCatLegic Tag Simulator (#666)
2015-01-20 iceman1001Merge branch 'master' of https://github.com/holiman...
2015-01-20 iceman1001Merge branch 'master' of https://github.com/Proxmark...
2015-01-18 marshmellow42Merge remote-tracking branch 'upstream/master'
2015-01-15 Martin Holst SwendeMerge pull request #44 from marshmellow42/master
2015-01-15 Martin Holst SwendeMerge branch 'master' of github.com:Proxmark/proxmark3
2015-01-15 Martin Holst SwendeImplemented new FPGA mode for iclass tag simulation...
2014-10-24 Martin Holst SwendeFirst try att merging with head
2014-07-01 pwpiwiMerge branch 'master' of https://github.com/Proxmark...
2014-06-28 Martin Holst SwendeMerge branch 'iclass-research' of https://github.com...
2014-06-07 Martin Holst SwendeMerged with head
2014-06-07 Martin Holst SwendeAdded 424KHz mode for iso 15693 simulation
2010-02-22 bushingsetting svn:eol-style=native on files, part 3
2009-10-12 henryk@ploetzli.chAdd HF simulator modulation mode for 212kHz subcarrier
2009-04-09 edouard@lafargue... Initial commit for the firmware. Used the 20090306_ela...
Impressum, Datenschutz