]> git.zerfleddert.de Git - raggedstone/commit - dhwk/dhwk.prj
all files to lowercase,
authorsithglan <sithglan>
Sun, 11 Mar 2007 08:55:29 +0000 (08:55 +0000)
committersithglan <sithglan>
Sun, 11 Mar 2007 08:55:29 +0000 (08:55 +0000)
commit30273618403fd6512926c89f999f97b4722e1709
tree4727b596f72896014632a898469f4eee137feeac
parent0b6ed0d89260ade25dc0c2dc9fb8aa154fabd6a1
all files to lowercase,
move everything except par/ser and ser/par into pci
62 files changed:
dhwk/dhwk.prj
dhwk/source/COMM_FSM.vhd [deleted file]
dhwk/source/CONT_FSM.vhd [deleted file]
dhwk/source/DATA_MUX.vhd [deleted file]
dhwk/source/FLAG_BUS.vhd [deleted file]
dhwk/source/INTERRUPT.vhd [deleted file]
dhwk/source/IO_RW_SEL.vhd [deleted file]
dhwk/source/Io_mux.vhd [deleted file]
dhwk/source/Io_reg.vhd [deleted file]
dhwk/source/MESS_1_TB.vhd [deleted file]
dhwk/source/PAR_SER_CON.vhd [deleted file]
dhwk/source/Parity_4.vhd [deleted file]
dhwk/source/REG.vhd [deleted file]
dhwk/source/SER_PAR_CON.vhd [deleted file]
dhwk/source/Verg_2.vhd [deleted file]
dhwk/source/Verg_4.vhd [deleted file]
dhwk/source/connecting_fsm.vhd [deleted file]
dhwk/source/fifo_control.vhd [deleted file]
dhwk/source/fifo_io_control.vhd [deleted file]
dhwk/source/io_mux_reg.vhd [deleted file]
dhwk/source/par_ser_con.vhd [new file with mode: 0644]
dhwk/source/parity.vhd [deleted file]
dhwk/source/parity_out.vhd [deleted file]
dhwk/source/pci/comm_fsm.vhd [new file with mode: 0644]
dhwk/source/pci/connecting_fsm.vhd [new file with mode: 0644]
dhwk/source/pci/cont_fsm.vhd [new file with mode: 0644]
dhwk/source/pci/data_mux.vhd [new file with mode: 0644]
dhwk/source/pci/fifo_control.vhd [new file with mode: 0644]
dhwk/source/pci/fifo_io_control.vhd [new file with mode: 0644]
dhwk/source/pci/flag_bus.vhd [new file with mode: 0644]
dhwk/source/pci/interrupt.vhd [new file with mode: 0644]
dhwk/source/pci/io_mux.vhd [new file with mode: 0644]
dhwk/source/pci/io_mux_reg.vhd [new file with mode: 0644]
dhwk/source/pci/io_reg.vhd [new file with mode: 0644]
dhwk/source/pci/io_rw_sel.vhd [new file with mode: 0644]
dhwk/source/pci/parity.vhd [new file with mode: 0644]
dhwk/source/pci/parity_4.vhd [new file with mode: 0644]
dhwk/source/pci/parity_out.vhd [new file with mode: 0644]
dhwk/source/pci/pci_interface.vhd [new file with mode: 0644]
dhwk/source/pci/pci_top.vhd [new file with mode: 0644]
dhwk/source/pci/reg.vhd [new file with mode: 0644]
dhwk/source/pci/reg_io.vhd [new file with mode: 0644]
dhwk/source/pci/steuerung.vhd [new file with mode: 0644]
dhwk/source/pci/synplify.vhd [new file with mode: 0644]
dhwk/source/pci/top.vhd [new file with mode: 0644]
dhwk/source/pci/user_io.vhd [new file with mode: 0644]
dhwk/source/pci/ven_rev_id.vhd [new file with mode: 0644]
dhwk/source/pci/verg_2.vhd [new file with mode: 0644]
dhwk/source/pci/verg_4.vhd [new file with mode: 0644]
dhwk/source/pci/verg_8.vhd [new file with mode: 0644]
dhwk/source/pci/vergleich.vhd [new file with mode: 0644]
dhwk/source/pci_interface.vhd [deleted file]
dhwk/source/pci_top.vhd [deleted file]
dhwk/source/reg_io.vhd [deleted file]
dhwk/source/ser_par_con.vhd [new file with mode: 0644]
dhwk/source/steuerung.vhd [deleted file]
dhwk/source/synplify.vhd [deleted file]
dhwk/source/top.vhd [deleted file]
dhwk/source/user_io.vhd [deleted file]
dhwk/source/ven_rev_id.vhd [deleted file]
dhwk/source/verg_8.vhd [deleted file]
dhwk/source/vergleich.vhd [deleted file]
Impressum, Datenschutz