--- $Id: par_ser_con.vhd,v 1.3 2007-03-11 12:24:35 sithglan Exp $
+-- $Id: par_ser_con.vhd,v 1.4 2007-03-11 13:23:11 sithglan Exp $
library ieee;
use ieee.std_logic_1164.all;
process(PCI_CLOCK)
begin
- if (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if (rising_edge(PCI_CLOCK)) then
if ("0000" < COUNT) then
COUNT <= COUNT - 1;
end if;
process(PCI_CLOCK)
begin
- if (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if (rising_edge(PCI_CLOCK)) then
SYNC <= SPC_RDY_IN;
end if;
end process;
if PCI_RSTn = '0' then
REG_ADDR <= X"00000000";
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
if LOAD_ADDR_REG = '1' then
REG_ADDR <= AD_REG;
else
process (PCI_CLOCK, PCI_RSTn)
begin
if PCI_RSTn = '0' then FRAME_REG_REGn <= '1';
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
FRAME_REG_REGn <= FRAME_REGn;
if PCI_RSTn = '0' then
COMM_STATE <= "0000";
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
case COMM_STATE is
when ST_IDLE_COMM =>
if IO_READ = '1' then COMM_STATE <= ST_IO_READ;
CONF_STATUS(30) <= '0';
CONF_STATUS(31) <= '0';
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
if CONF_WR_04H = '1' and CBE_REGn(3) = '0' then
CONF_STATUS(30) <= not (AD_REG(30) and CONF_STATUS(30));
CONF_STATUS(31) <= not (AD_REG(31) and CONF_STATUS(31));
CONF_COMMAND(15 downto 8) <= (others =>'0');
CONF_COMMAND( 6 downto 0) <= (others =>'0');
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
if CONF_WR_04H = '1'and CBE_REGn(1) = '0' then
CONF_COMMAND(15 downto 8) <= AD_REG(15 downto 8);
if PCI_RSTn = '0' then
CONF_BAS_ADDR_REG(31 downto 4) <= (others =>'0');
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
if CONF_WR_10H = '1'and CBE_REGn(3) = '0' then
CONF_BAS_ADDR_REG(31 downto 24) <= AD_REG(31 downto 24);
if PCI_RSTn = '0' then
CONF_INT_LINE <= (others => '0');
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
if CONF_WR_3CH = '1'and CBE_REGn(0) = '0' then
CONF_INT_LINE(7 downto 0) <= AD_REG(7 downto 0);
end if;
process (PCI_CLOCK)
begin
- if (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if (rising_edge(PCI_CLOCK)) then
if SIG_LOAD = '1' then
REG <= S_FIFO_Q_OUT;
process (PCI_CLOCK)
begin
- if (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if (rising_edge(PCI_CLOCK)) then
if RESET = '1' then
STATES <= S0;
begin
if PCI_RSTn = '0' then CONTROL_STATE <= ST_IDLE;
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
case CONTROL_STATE is
when ST_IDLE =>
--- $Id: fifo_io_control.vhd,v 1.3 2007-03-11 12:24:35 sithglan Exp $
+-- $Id: fifo_io_control.vhd,v 1.4 2007-03-11 13:23:11 sithglan Exp $
library IEEE;
use IEEE.std_logic_1164.all;
process (PCI_CLOCK)
begin
- if (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if (rising_edge(PCI_CLOCK)) then
if (RESET = '1') then
S_FIFO_WRITEn <= '1';
SIG_S_ERROR <= '0';
process (PCI_CLOCK)
begin
- if (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if (rising_edge(PCI_CLOCK)) then
FF1_S_EFn <= not S_EFn;
FF1_S_HFn <= not S_HFn;
FF1_S_FFn <= not S_FFn;
process (PCI_CLOCK)
begin
- if (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if (rising_edge(PCI_CLOCK)) then
if HOLD = '0' then
FF2_S_EFn <= FF1_S_EFn;
FF2_S_HFn <= FF1_S_HFn;
FF_A <= "00000000";
FF_B <= "00000000";
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
if (RESET = '1') then
SET <= "00000000";
FF_A <= "00000000";
if (PCI_RSTn = '0') then
REG <= "00000000";
- elsif(PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif(rising_edge(PCI_CLOCK)) then
if(RESET = '1') then
REG <= "00000000";
process (PCI_CLOCK)
begin
- if(PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if(rising_edge(PCI_CLOCK)) then
SIG_PROPAGATE_INT_SECOND <= not SIG_PROPAGATE_INT;
end if;
end process;
REG_IDSEL <= '0';
REG_PAR <= '0';
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
REG_AD <= IO_DATA;
REG_CBEn <= PCI_CBEn;
REG_FRAMEn <= PCI_FRAMEn;
PERR_FF <= '0';
SERR_FF <= '0';
- elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ elsif (rising_edge(PCI_CLOCK)) then
SERR_FF <= ((PCI_PAR_IN xor PAR) and SERR_CHECK) and PA_ER_RE and SERR_ENA and (not SERR_FF);
PERR_FF <= ((PCI_PAR_IN xor PAR) and PERR_CHECK) and (not PERR_FF);
end if;
--- $Id: ser_par_con.vhd,v 1.3 2007-03-11 12:24:35 sithglan Exp $
+-- $Id: ser_par_con.vhd,v 1.4 2007-03-11 13:23:11 sithglan Exp $
library ieee;
use ieee.std_logic_1164.all;
process(PCI_CLOCK)
begin
- if (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if (rising_edge(PCI_CLOCK)) then
if ("0000" < COUNT) then
COUNT <= COUNT - 1;
end if;
process(PCI_CLOCK)
begin
- if (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if (rising_edge(PCI_CLOCK)) then
SPC_RDY_OUT <= SPC_ENABLE AND SYNC_R_FIFO_FFn;
end if;
end process;
process(PCI_CLOCK)
begin
- if (PCI_CLOCK'event and PCI_CLOCK = '1') then
+ if (rising_edge(PCI_CLOCK)) then
if (RESET = '1') then
STARTBIT <= "0000";
else